找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

电巢直播8月计划
查看: 723|回复: 1
打印 上一主题 下一主题

程序错误,求救

[复制链接]

2

主题

18

帖子

-8983

积分

未知游客(0)

积分
-8983
跳转到指定楼层
1#
发表于 2011-10-12 15:41 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
下面程序中有错误,新手,不知如何解决,求解答$ P" k9 k* F& L! X
library ieee;6 \7 Z: b1 }$ z
use ieee.std_logic_1164.all;
3 N$ ^) P1 ^: ]1 \2 xuse ieee.std_logic_arith.all;; ?$ A7 e6 F$ t( p. n* x3 I
use ieee.std_logic_unsigned.all;
9 ]* [: U2 P! V4 h  ]
; H4 J8 m8 S: z/ }4 {entity mycounter_60 is
4 n' ^4 L; i. g; M7 X  x    port(clk,clr,en,bcd1wr,bcd10wr:in std_logic;
5 p; f+ M+ ~/ j7 G' P8 f5 j         din:in std_logic_vector(3 downto 0);! @7 d3 s6 H5 A7 l( Q
         bcd1: out std_logic_vector(3 downto 0);( {( W5 K1 M% i) `/ N) G& _7 u
         co: out std_logic;& F1 C% `( H+ K
         bcd10: out std_logic_vector(2 downto 0));! n& K, ~2 s3 T) I* `; B" T" f
end mycounter_60;
7 e: G/ c4 a- @* Q! B# d3 |$ W3 {
% T0 M* F- n  P  K* {9 parchitecture art2 of mycounter_60 is5 E1 v( F6 J  T- R  x
signal bcd1n:std_logic_vector(3 downto 0);+ X, i" b  {. O! Y1 M
signal bcd10n:std_logic_vector(2 downto 0);
' z5 R; ?& ]6 B6 A* _; h# Q" Fbegin2 n" X3 Z% ?0 j: d' D: Q: z+ h
    PROCESS(clk,clr,en,bcd1wr) is$ F' D( B5 O2 R' }) Z3 f
    begin
  A( c( ~( ^) y2 U+ x        if(clr='0') then
9 D+ r0 K( ^# w, Z3 S# V            bcd1n<=(others=>'0');
+ z+ K! M2 d6 }4 E3 E1 x% Z            bcd10n<=(others=>'0');
" w1 j, g) N* Y, ^, w9 }; H+ d        elsif(clk'event and clk='1') then
* U, `! }: N. s- o5 r7 A            if(bcd1wr='1' and en='1') then
6 w3 Q9 A. z* c, R' q  M4 a                bcd1n<=din;
2 w0 a& |& g3 l& o5 e: j7 t" T            elsif(en='1') then
0 Z7 ^; z4 `) A# @$ e$ J6 e) x                bcd1n<=bcd1n+1;- a  Z! X) V* h7 n6 t
                if(bcd1n>=9) then
' S* {, E; K5 Q- d8 p                    bcd1n<="0000";
4 Q) ^0 s: P3 M$ b                end if;! l8 A! X7 g# K% {
            end if;4 i2 Z0 _5 Q. j* N! p5 g3 b& [
        end if;
. x2 G4 l0 @8 `+ |% P2 W. T    end process;3 Z. E, X; }" s
   
8 V5 v* M* w" A! B& T% s% P  |    process(bcd10wr,en,bcd1n) is8 L) j7 i* E/ M
    begin
( k. j$ C' u- j, V6 U/ c' `        if(bcd10wr='1' and en='1') then
8 ^( F" U8 W2 I* q            bcd10n<=din(2 downto 0);  `0 D  H4 m/ i( _; _
        elsif(bcd1n=9 and en='1') then1 t# P& [& D, `& t6 |- a  J
            bcd10n<=bcd10n+1;
) v* k8 h) O+ o/ U9 W            if(bcd10n=5) then. p9 `! r9 r* u
                bcd10n<="000";: }( a; `6 x1 v4 s$ v4 [  r2 ]
                co<='1';
' l9 ?) }# B3 C; F            else
) C0 I0 X: I% [+ x1 Y                co<='0';# q" x$ B" Q$ z2 v0 @
            end if;
* x) N- u- ?9 k        end if;
, ]* Y: C! }% `0 Z# C, n" u    end process;" y% L4 G2 x! V7 k  V7 n2 p
   
# \# R5 Y2 ^! \! x$ J' b    bcd1<=bcd1n;
8 m  S; L4 Z( y3 p4 p6 s9 j9 t  _    bcd10<=bcd10n;* z+ H, v: \" a" y; x4 v2 a
end art2;* ^' x' W& J* L7 z+ B

' Z" s5 d% k4 ~# u. F0 g非常感谢
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

6

主题

30

帖子

144

积分

二级会员(20)

Rank: 2Rank: 2

积分
144
2#
发表于 2012-2-24 17:09 | 只看该作者
这些都是小错误,希望细心一点
, R* l! s# {& |$ p0 L( t- Blibrary ieee;
% e+ q  G9 s. c. ~# r/ n/ B0 yuse ieee.std_logic_1164.all;8 W2 l" C% @/ k9 M% g& j
use ieee.std_logic_arith.all;
" E/ D8 K% y/ ?& Xuse ieee.std_logic_unsigned.all;
: g/ l4 W5 ], `+ K2 ]2 `6 Ientity  mycounter_60   is
4 _/ W/ v9 {  ~" N; F, N) a3 ~5 eport(  clk,clr,en,bcd1wr,bcd10wr :in std_logic;5 Y% f2 J: Y& E5 Y( n& |. C$ ]
        din:in std_logic_vector(3 downto 0);; m+ B' l8 i# H2 \0 `: ~
        cout std_logic;
) T" {0 S2 z5 Y1 A! ~2 e       bcd1 ut std_logic_vector(3 downto 0);
( h9 l  s  F; d3 {/ g        bcd10 ut std_logic_vector(2 downto 0): e" @% u) u% F5 @8 D! x
       );
5 Q2 j, _/ a# O% ^8 |4 @end;
$ s  J' z) G/ Q( F% k# i  B& G! V  ]architecture behav of  mycounter_60   is
$ V6 ~  s& _' F% U# d% W3 b% t- Lsignal bcd1n:std_logic_vector(3 downto 0);
) }+ n( o- H2 t" Z3 _signal bcd10n:std_logic_vector(2 downto 0);
' e1 {: M$ E, I# f! f3 y* a: J, }8 Z" D5 s0 @% I
begin& o/ `5 u, H. u& R/ s8 o/ g
   process(bcd1wr,clr,en,clk)0 p, q. @' o. R, N7 B
   begin & C6 d) q" Y% q: {% v  a
if(clr='0')then
6 x% n$ v2 K+ tbcd1n<=(others=>'0');# N/ z8 e' O  ]# @! D

/ v& K) F. \4 M- M5 k: [elsif(clk'event and clk='1')then2 A' W7 t# H6 y! s, a
if (bcd1wr='1' and en='1')then
( v( c! k, b. i& U' ]& P2 p9 ?bcd1n<=din;
- g9 E- F% b* |8 A0 }elsif (en='1')then
2 f: F# l! D/ r) O+ P" ^" j0 Lbcd1n<=bcd1n+1;
; C, W9 R( y/ p8 h# r/ V" P& h+ Kif (bcd1n>=9)then
8 u( q5 L! y% E! Qbcd1n<="0000";
9 I. r4 y1 v+ w' Q
) L, e, B+ e" x9 U( e2 M; W$ {+ a! x, z$ vend if;& s: l% e- p9 M0 s- i( U
end if;
& _8 n# z8 }6 w5 r  @* kend if;& \- B! r: u# g5 d9 p" d
end process;% |4 g7 J% L8 n4 k; }# D

8 q8 {7 a, m' x; H& i6 @; u( j! i. q% i, K
process(bcd10wr,en)
* Q: ~! c) Q9 d. B* Zbegin+ w% q4 N' X( l+ u! C+ m
if(clr='0')then5 E8 |$ K  A3 T' @$ E
bcd10n<=(others=>'0');! N( x6 l4 ?9 N8 U
if(bcd10wr='1' and en='1')then* j2 b% |  v$ {. _0 r" y. [
bcd10n<=din(2 downto 0);, i% j! L& ^! S# h0 ~1 m$ @  Q
elsif(bcd1n=9 and en='1') then
$ X7 H$ W0 @. n/ Bbcd10n<=bcd10n+1;9 n6 o0 D4 y  J% ^  q. Y7 `- p# e# H
if(bcd10n=5) then# M# x9 |9 b3 M( l: }
bcd10n<="000";" j0 e+ `0 J  `1 w
co<='1';
. m- g" z) N# U! O; t( A+ p# o2 Qelse; f' N+ Y6 k* Y5 \
co<='0';! F. @9 R9 e. i  S2 ?* s4 o9 g* r/ |2 o
end if;8 e: f: W% {: O
end if;
& ]0 r' b, s. Y, m7 M* o. Iend if;
6 ^% s6 e# f8 ?% Q8 j, T, jend process;, r/ n$ m# X/ s: s3 I; J3 h; g& ?

6 R; d6 h4 Q1 h  j" qbcd1<=bcd1n;7 n5 G" V4 D; q  {2 S9 D+ p9 [
bcd10<=bcd10n;
" S% S  [! ?, j  T. U% `6 U7 Dend behav;
' k8 ~3 H9 ^9 o, Y, Y& A       " ~  N8 Q) x! n4 [5 N
     
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2025-7-15 08:09 , Processed in 0.056480 second(s), 30 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表