EDA365欢迎您!
您需要 登录 才可以下载或查看,没有帐号?注册
x
最近刚接触lattice,用的设计工具是diamond2.1,想从quarters ii移植一个工程过来,但是在diamond下编译出错:Identifier basic is not declared;网上lattice的资料挺少的,想问下哪位大侠知道如何解决,源代码如下:; h1 r8 L4 w6 i# @5 G7 `
包的建立:(并放到库中) library IEEE; use IEEE.STD_LOGIC_1164.all; package comps is procedure and2(signal a:in std_logic; signal b: in std_logic; signal c: out std_logic); procedure or2( signal a:in std_logic; signal b: in std_logic; signal c ![](static/image/smiley/default/shocked.gif) ut std_logic); end comps; package body comps is procedure and2(signal a:in std_logic; 4 v; w; O! j- M' K
库的调用; library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library basic; use basic.comps.all; entity usage is Port ( a : in std_logic; b : in std_logic; c : in std_logic; s : out std_logic); end usage; architecture Behavioral of usage is signal tmp:std_logic; begin u1:and2(a,b,tmp); u2 ![](static/image/smiley/default/shocked.gif) r2(tmp,c,s); end Behavioral; 0 c. x6 g) g+ r6 t
路径如下图: 1 c! b1 O# a( j9 d7 x5 O
! t Z# M4 V7 Q* `
: o. `. T! n5 y% u) z/ L/ d7 r+ ~- T - N* S' |$ M; a. D; ^
|