找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 713|回复: 1
打印 上一主题 下一主题

程序错误,求救

[复制链接]

2

主题

18

帖子

-8983

积分

未知游客(0)

积分
-8983
跳转到指定楼层
1#
发表于 2011-10-12 15:41 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
下面程序中有错误,新手,不知如何解决,求解答
1 s' k0 |7 N6 d& d2 C. ilibrary ieee;
1 M) n6 S. a) s3 i+ ?: Tuse ieee.std_logic_1164.all;
" p" e1 y9 f; l1 k# `use ieee.std_logic_arith.all;
. O5 t& Z- A) Z" }use ieee.std_logic_unsigned.all;  b" {( x* R" z# T4 `
0 L' x5 b# P1 k
entity mycounter_60 is
5 {# s2 M) K" @3 {0 b1 q  L    port(clk,clr,en,bcd1wr,bcd10wr:in std_logic;$ F" _( `7 J* B- v- ?5 F' Z
         din:in std_logic_vector(3 downto 0);' @$ H& i1 [5 f& ]
         bcd1: out std_logic_vector(3 downto 0);; b2 k1 x/ ~# F# f# [5 d0 ~9 y
         co: out std_logic;
& s6 |& X3 d) F7 H2 }         bcd10: out std_logic_vector(2 downto 0));$ o: y1 n* k, `" W  G. \
end mycounter_60;
9 n% x# h, @0 Z* J4 p1 D. D+ D" a* v
architecture art2 of mycounter_60 is
4 C$ k/ Q: X& N$ a# ^signal bcd1n:std_logic_vector(3 downto 0);) U. c5 W& h6 ~5 V, v
signal bcd10n:std_logic_vector(2 downto 0);& k6 U5 v; u% V; z6 j' w. V. T
begin: s( u) M! L' `4 I/ J: _2 N
    PROCESS(clk,clr,en,bcd1wr) is3 F0 U  K" J& S# D9 Q8 F( T+ `6 F
    begin- K( G! t, c1 D8 q
        if(clr='0') then' s/ [" W$ r" D3 i" A
            bcd1n<=(others=>'0');
# q( T, B# m4 J( X            bcd10n<=(others=>'0');
4 D7 i, y0 w- `1 e1 m. K9 E# v        elsif(clk'event and clk='1') then
7 D6 }" T* d# J  R            if(bcd1wr='1' and en='1') then
  m) Z: {2 D/ F$ Q+ R                bcd1n<=din;3 z9 |  E- h, b2 t, i  v
            elsif(en='1') then+ x( g) m+ Y5 d) _9 ?
                bcd1n<=bcd1n+1;9 s1 D0 K( L. k3 Z- l
                if(bcd1n>=9) then
7 s1 J/ H/ [5 L  G2 M2 F( D                    bcd1n<="0000";
8 s" Q8 i  F2 t- e: n                end if;
' `* Z4 A- W$ N6 k1 a            end if;# i: g9 o6 N* E2 B7 ^/ t+ u# _
        end if;
7 U; B/ z' z9 D+ e+ W0 t    end process;* L' N! x7 [# w0 G# G
   * m' L9 q6 X! X- Q
    process(bcd10wr,en,bcd1n) is# _5 a" m7 x8 ?2 M8 Z
    begin
, D/ p- h4 N3 K; S, `9 R+ W        if(bcd10wr='1' and en='1') then! X7 j- b8 S* a) s; d5 R  _. c9 @( L9 `
            bcd10n<=din(2 downto 0);6 \4 `& k* v4 D0 K
        elsif(bcd1n=9 and en='1') then7 o  C" t) ?& E  p, b& k- L
            bcd10n<=bcd10n+1;
1 j$ ?7 h( H9 q- _) b, \            if(bcd10n=5) then
+ r1 O9 g( H& _8 d# x' }                bcd10n<="000";
* F! @5 S( s! {; O2 f                co<='1';
/ R( u1 r& n8 F  x: B6 W            else# N3 E, q( ~; D# S/ w
                co<='0';
& m1 N  W4 X: c            end if;
: k8 g! F0 M% ]/ n/ |/ c        end if;/ R' l# p; i7 F* \3 `/ b
    end process;
7 q7 g% E. l: y% h/ J1 ]! h   
6 w9 i$ [- @9 J3 Y  u    bcd1<=bcd1n;/ z$ {; o  W% l8 P# x& E
    bcd10<=bcd10n;
8 J+ L* A9 I& P4 O$ ~2 I; mend art2;
3 W7 ^& y# g& J7 j" c  ^' E$ Z
& _& F8 O% u% N! t& b非常感谢
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

6

主题

30

帖子

144

积分

二级会员(20)

Rank: 2Rank: 2

积分
144
2#
发表于 2012-2-24 17:09 | 只看该作者
这些都是小错误,希望细心一点
! N/ e( Y% G: ?6 P9 ilibrary ieee;. n$ j5 C2 X+ e
use ieee.std_logic_1164.all;
: i: k; _  W* r- s, f7 a+ }+ G7 Ruse ieee.std_logic_arith.all;/ f  T/ Z  k3 s$ ]1 D; Z6 w
use ieee.std_logic_unsigned.all;
) d% r1 D5 }# J' B* e6 j1 Rentity  mycounter_60   is1 x- p4 X+ _* Z5 I  Z
port(  clk,clr,en,bcd1wr,bcd10wr :in std_logic;& x, s6 h3 q2 O! a
        din:in std_logic_vector(3 downto 0);7 }; n1 d, r$ I6 g. Y4 G
        cout std_logic;
# t* j9 z/ B5 H. |       bcd1 ut std_logic_vector(3 downto 0);4 p6 n5 {' {" i% G1 ]+ b& B$ h
        bcd10 ut std_logic_vector(2 downto 0)
# E1 j5 |: f" ~* W; k       );
5 a7 y! h) F3 k* j* t6 cend;6 A4 Y; D! j2 n; {8 ?
architecture behav of  mycounter_60   is9 `% s; [& @2 h4 m
signal bcd1n:std_logic_vector(3 downto 0);  y! w, [4 A6 \& y% E7 C: i
signal bcd10n:std_logic_vector(2 downto 0);. a/ H( H% K* |1 X2 V) t" d
3 W% d( C3 V0 n3 y3 s+ i! r
begin
9 }8 J8 k: H- j- K8 X& u   process(bcd1wr,clr,en,clk)2 |% B$ Q; q- `
   begin & G! g% j; B- k  O, n
if(clr='0')then
7 M& w; c) q( X# l1 b  _bcd1n<=(others=>'0');
6 P2 u1 e9 b' {; B5 R& k/ w& T& z3 W0 A# B( Y/ m( c2 t$ N" @! L$ b
elsif(clk'event and clk='1')then+ j/ z0 w0 @2 z. _6 A2 T" u
if (bcd1wr='1' and en='1')then
- T, l) K) g5 k3 [6 r9 R- m* Dbcd1n<=din;" z8 V/ n' S7 B- R5 y) B% e
elsif (en='1')then2 q' O- d8 g) O
bcd1n<=bcd1n+1;
  F1 Q' |% x( j& K# o" k" e* dif (bcd1n>=9)then
/ y% c6 M: N, J0 O2 ibcd1n<="0000";  W: E. l7 }; H& @& }% y

7 i3 H9 E8 h/ D& z( `8 Y' X. Tend if;. a% C- M( @+ p) u, a: q/ N& e2 m
end if;4 d7 e/ n* U" J% T( N
end if;. u2 Z1 E) {( V. [4 z9 Q
end process;
" l0 L6 I: D$ e  j' R  W  D2 k' l7 O! L+ H8 s0 j+ Q' _/ ^$ d$ e

& [, d3 [- n& y5 r# kprocess(bcd10wr,en)
6 A* \8 j7 [4 `0 J4 T' X) U% {+ abegin1 N1 o+ M% P- _9 }' ~
if(clr='0')then3 D- f* g: N* k* G# {
bcd10n<=(others=>'0');
8 @5 {* _6 _  P1 |8 t/ \& eif(bcd10wr='1' and en='1')then! z: u: t- Q. F
bcd10n<=din(2 downto 0);
; Y0 R2 a/ r! d% }  e- I+ Kelsif(bcd1n=9 and en='1') then
% }/ ]  X: b6 N+ ?7 k- x# sbcd10n<=bcd10n+1;, o# m3 E+ @6 A2 i, i1 r5 x
if(bcd10n=5) then
) v6 D- h/ D6 N1 x& c: Ubcd10n<="000";# c! h$ _( Q% e3 w! b* }
co<='1';$ m8 s3 X$ v; M7 i- E; \
else* ^6 j, A: C$ v& m7 h, k
co<='0';# V$ B/ r% |& G
end if;
" p5 i, e4 a$ f( {end if;- ]* D5 ]' t% n" i; g
end if;! c9 {: H( ^+ W% `; t
end process;
9 D6 O# d  E1 {: {( R3 c% x! L8 \6 d7 R( P3 t& g
bcd1<=bcd1n;
6 ^" c" y) c+ P: f* `7 b9 }% F" @bcd10<=bcd10n;
4 E+ h3 E" T6 @% p- aend behav;
# W. X0 |3 m: E       + Q, O# ~' o7 o8 c' |7 Y; R- l
     
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-11-24 11:12 , Processed in 0.057063 second(s), 33 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表