找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 716|回复: 1
打印 上一主题 下一主题

程序错误,求救

[复制链接]

2

主题

18

帖子

-8983

积分

未知游客(0)

积分
-8983
跳转到指定楼层
1#
发表于 2011-10-12 15:41 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
下面程序中有错误,新手,不知如何解决,求解答
1 B# [- q7 M# blibrary ieee;
6 [8 b- U) z/ ~: huse ieee.std_logic_1164.all;. v. d  o* x3 b
use ieee.std_logic_arith.all;0 d7 B: D" d4 |8 L  R5 C7 m
use ieee.std_logic_unsigned.all;6 s: X# M% u* R% j) O; W
8 }6 n3 l- U0 o
entity mycounter_60 is
, V4 P3 }5 j8 v0 ~' ^    port(clk,clr,en,bcd1wr,bcd10wr:in std_logic;
6 I6 r; {; w) T         din:in std_logic_vector(3 downto 0);
: |$ W( N& s7 G/ _" d. n' z         bcd1: out std_logic_vector(3 downto 0);
# `" x0 {. Y+ ?         co: out std_logic;
9 N( n  A7 l5 {. F) W& x         bcd10: out std_logic_vector(2 downto 0));
  {6 _% g; M) ]! V& aend mycounter_60;
' t3 U0 X/ N; s! y
  _8 \, h7 m: R7 Oarchitecture art2 of mycounter_60 is3 X$ G3 {# f) Q/ @
signal bcd1n:std_logic_vector(3 downto 0);
$ d+ L- a# \3 E- fsignal bcd10n:std_logic_vector(2 downto 0);; \& ^) k( d0 F: t, F" x  ^& D
begin; z% h# g8 P7 t% g
    PROCESS(clk,clr,en,bcd1wr) is+ w) @3 T" C9 V1 a
    begin" H; p+ F$ A2 U8 P9 m
        if(clr='0') then
3 H9 \  n: Y: S5 p7 r  u            bcd1n<=(others=>'0');1 u! M" S) j$ Y' m* }; u2 `
            bcd10n<=(others=>'0');
5 X1 I! p7 ^; \5 N        elsif(clk'event and clk='1') then$ s" l6 D4 k" h
            if(bcd1wr='1' and en='1') then
- ^5 a5 L" ~' g' ]! x                bcd1n<=din;
( C5 S- s! c2 j) K3 G            elsif(en='1') then
1 X3 p! R$ q! |' k) \                bcd1n<=bcd1n+1;
, V: {# V( n" s$ o                if(bcd1n>=9) then) U4 x* j- j! u# Y. {, t4 O/ o3 M
                    bcd1n<="0000";1 c# s) Z4 h% J9 K7 ?6 }2 n& }5 N
                end if;
* `; ?( E: n% q- a/ |3 X            end if;1 I% d8 ~& f/ U# S3 y& V
        end if;
8 r" C6 C- \$ x" X* {- n    end process;' C6 M/ \3 f5 Q3 z9 J2 a
   
- H+ v4 A1 }+ E* P, l" o& `8 M9 z    process(bcd10wr,en,bcd1n) is
7 B/ H  R" c( S    begin
8 L' |: M( k! p, L; Q# [0 L7 R& Q, E        if(bcd10wr='1' and en='1') then
3 J! U$ K6 a/ {  U9 J! S            bcd10n<=din(2 downto 0);" j7 ], G3 ?  e
        elsif(bcd1n=9 and en='1') then
& n- H7 N. k- o8 i+ E  D            bcd10n<=bcd10n+1;9 ~, O' ?; O0 d$ J6 t
            if(bcd10n=5) then2 H; J; L/ M0 n5 a9 x2 l  @8 o  L
                bcd10n<="000";
6 e9 }$ f2 h# _$ r4 J; C. H6 h1 y% T                co<='1';- T: }' \  [* u8 v& V$ C; t
            else* ^) o# b6 c1 c
                co<='0';
0 m2 x% z; q2 _* F3 U            end if;/ m6 N8 `2 T  o3 t% k, s. p6 i  U
        end if;) w1 f9 x$ y' T/ N% t% l! ]  ^
    end process;
) p1 G( e- k7 l   
% V4 v5 p; E6 x% \    bcd1<=bcd1n;2 \# ^, j! W' g* x5 m
    bcd10<=bcd10n;* O) B& s' A1 e6 j1 d9 j5 k& d
end art2;4 e  e2 z& E: V7 {# l& Y& P9 T
; g8 }& a! n& C
非常感谢
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

6

主题

30

帖子

144

积分

二级会员(20)

Rank: 2Rank: 2

积分
144
2#
发表于 2012-2-24 17:09 | 只看该作者
这些都是小错误,希望细心一点
5 M# U/ y5 x8 Llibrary ieee;1 W  f- U3 y, Y" a" n3 \" U: @
use ieee.std_logic_1164.all;
" [% h$ y: S- {; J7 H9 Y* uuse ieee.std_logic_arith.all;
4 K0 |. e$ G; e" huse ieee.std_logic_unsigned.all;: ~# d+ _, j' |) K0 P. W) v
entity  mycounter_60   is
( [- v0 x3 R8 ^' @. _port(  clk,clr,en,bcd1wr,bcd10wr :in std_logic;
, Z3 _6 a' I9 ]2 u2 m        din:in std_logic_vector(3 downto 0);; }, H" m2 _+ @, o
        cout std_logic;
) x3 W6 ~. Q5 J/ B# p# B       bcd1 ut std_logic_vector(3 downto 0);) i3 w' x; K. U9 [5 X) B6 \7 B6 D  h, S# `
        bcd10 ut std_logic_vector(2 downto 0)4 h- f' d& k+ a9 k4 M2 ?/ \- C
       );
+ _. ?) Q; _. C' g* H& xend;( s5 L& a- R0 v, d
architecture behav of  mycounter_60   is1 u6 V/ A! A9 Q: C# H( J, h0 X: s2 t
signal bcd1n:std_logic_vector(3 downto 0);2 I. ]* I7 m! H/ X
signal bcd10n:std_logic_vector(2 downto 0);
* \9 {# Y" w* [- J$ [. o0 Z8 v5 \5 n0 O0 d
begin3 c3 ~4 }8 b* X
   process(bcd1wr,clr,en,clk)' D6 ^5 `& g! }, q
   begin
3 [! ], L4 l) k6 _9 V% w- i7 h. Rif(clr='0')then5 \. L, Y: V" y- P
bcd1n<=(others=>'0');) W" P0 D* b  ^) K. d

, v, i5 q! [, j2 _! a7 R# Nelsif(clk'event and clk='1')then
0 l8 I3 d- y& G- b; N9 Rif (bcd1wr='1' and en='1')then
" _* V+ I+ ^- W1 o+ l4 [4 n" abcd1n<=din;# `7 C8 ]' v5 c5 M' c+ U
elsif (en='1')then- ]' M# Q1 x! {% I- l& v: T
bcd1n<=bcd1n+1;; S" l3 G8 `& {, B4 P5 O
if (bcd1n>=9)then
3 I' e" V$ R: Z$ \bcd1n<="0000";+ i0 K0 v4 J0 r; E3 {

+ @! ]) b# N1 d- G! _% _1 @end if;9 M6 Q: |7 Z6 h& i3 [
end if;
2 x# M& r8 c1 o+ w! ^' S& yend if;
" n1 e! |1 j/ wend process;- w4 ~: |$ {! s3 S, u  A7 L

& D& ~& X" a5 h/ z, ^! b! f7 S- w+ C% Q. a
process(bcd10wr,en)
% ~1 r1 Y2 z( Zbegin
1 D' c0 q  |" z. z. x! Oif(clr='0')then
" m; {; D; T! C- \2 v, X5 Abcd10n<=(others=>'0');9 F" K4 F# p. h+ a) i" L" F  T4 t( b
if(bcd10wr='1' and en='1')then3 n" B* d+ C, r
bcd10n<=din(2 downto 0);
% m" p' F) X; u, @: Velsif(bcd1n=9 and en='1') then
$ r" P' B. Y6 ?: [. @bcd10n<=bcd10n+1;
' o& _" C( @. d! ], U3 Pif(bcd10n=5) then
% r  D: d- u3 u0 xbcd10n<="000";- z" k: {( M$ o
co<='1';
4 M, d) m0 z$ V3 b- [; {else) G+ A; K+ v$ Y; {* j0 i/ o, r
co<='0';
" ~3 t, d: B) n' W7 cend if;
! T) o$ M# G, H6 ]) @& q, i4 lend if;
9 t! e8 Y& W8 X" U9 c- L* nend if;8 y8 \9 c6 F4 h2 l
end process;
' ?2 k$ U& ^/ }! r  E$ L" {3 K$ x% T* e/ r
. W- f! @5 C/ v, d3 @bcd1<=bcd1n;: o5 k+ s8 V  ]3 y$ J% r% C, v
bcd10<=bcd10n;7 m0 N* h# r( b& _: i6 n$ a
end behav;
. z3 Z2 d$ J* u- B  W       , s4 g, @8 h6 q( a
     
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2025-2-19 05:56 , Processed in 0.053833 second(s), 32 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表