找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 1414|回复: 12
打印 上一主题 下一主题

Cadence16.6 Hotfix_SPB16.60.018_wint_1of1

  [复制链接]

33

主题

250

帖子

295

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
295
跳转到指定楼层
1#
发表于 2013-10-29 17:10 | 只看该作者 回帖奖励 |正序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
Hotfix_SPB16.60.018_wint_1of1, a+ f3 e" X9 D: m7 ~5 B" q( `
http://115.238.233.104/file/MDAw ... k&mode=download% p$ e6 |, Z2 ^3 o1 ]
此链接来自库源电气

评分

参与人数 1贡献 +2 收起 理由
fa888 + 2 赞一个!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持!1 反对!反对!

74

主题

295

帖子

1333

积分

四级会员(40)

Rank: 4Rank: 4Rank: 4Rank: 4

积分
1333
13#
发表于 2013-11-13 21:47 | 只看该作者

70

主题

331

帖子

1237

积分

四级会员(40)

Rank: 4Rank: 4Rank: 4Rank: 4

积分
1237
12#
发表于 2013-11-9 19:32 | 只看该作者
谢谢分享哈,,补丁还是很有用的。。。

6

主题

316

帖子

3060

积分

五级会员(50)

Rank: 5

积分
3060
11#
发表于 2013-11-5 13:50 | 只看该作者
感谢无私的分享

122

主题

439

帖子

1935

积分

四级会员(40)

Rank: 4Rank: 4Rank: 4Rank: 4

积分
1935
10#
发表于 2013-10-30 11:57 | 只看该作者
本帖最后由 dds0201 于 2013-10-30 12:05 编辑 , u7 F( Y. }! B; T

. {7 Y* O+ ~4 V4 \  h5 P0 H0 e/ a更新很快,楼主辛苦了。终于解决了那个soulder没显示的问题。找了很长时间。

7

主题

888

帖子

4426

积分

五级会员(50)

Rank: 5

积分
4426
9#
发表于 2013-10-30 10:59 | 只看该作者
不顶你还能顶谁

24

主题

1796

帖子

8046

积分

六级会员(60)

Rank: 6Rank: 6

积分
8046
8#
发表于 2013-10-30 08:46 | 只看该作者
楼主好人,感谢分享

3

主题

45

帖子

1517

积分

四级会员(40)

Rank: 4Rank: 4Rank: 4Rank: 4

积分
1517
7#
发表于 2013-10-29 22:41 | 只看该作者
更新的真快!!

184

主题

3098

帖子

1万

积分

EDA365版主(50)

Rank: 5

积分
10728
6#
发表于 2013-10-29 22:30 | 只看该作者
感谢楼主分享

1

主题

205

帖子

2503

积分

四级会员(40)

Rank: 4Rank: 4Rank: 4Rank: 4

积分
2503
5#
发表于 2013-10-29 22:10 | 只看该作者
感谢楼主啊  呵呵

33

主题

755

帖子

4966

积分

五级会员(50)

Rank: 5

积分
4966
4#
发表于 2013-10-29 20:28 | 只看该作者
太不厚道了! 还不如不贴???
佛告比丘:我以一切行无常故,一切诸行变易法故,说诸所有受悉皆是苦。

33

主题

250

帖子

295

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
295
3#
 楼主| 发表于 2013-10-29 17:25 | 只看该作者
http://dl.vmall.com/c0eiuja7pj
6 h9 E$ z( k% r& v+ I* C6 i& Z5 F, D9 d, h  L' l. Z& ?

33

主题

250

帖子

295

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
295
2#
 楼主| 发表于 2013-10-29 17:11 | 只看该作者
8 u8 I, u0 g9 i7 K' W
DATE: 10-25-2013  HOTFIX VERSION: 018: V7 {) U) u# \4 p. F% K- L
===================================================================================================================================
/ j$ @) V; \7 G9 T" i- y% K1 aCCRID  PRODUCT        PRODUCTLEVEL2   TITLE$ R! K& u* x9 g- {; x  t1 c: e
===================================================================================================================================
* A3 b8 R) ~+ N5 m5 n! V6 z1118303 CONCEPT_HDL   CONSTRAINT_MGR   can not prdefinedefault units in HDL
- U: a! t+ M3 i, v1174901 ALLEGRO_EDITOR GRAPHICS         Spurious odd lines are shown in shapesand text that are not part of the design with opengl4 O2 F* j% I# o
1176990 CONCEPT_HDL   OTHER            DEHDL BOM tooldoesn縯 see similar names.
: A: I% v8 p# x- ^. d2 R% }& Y1179665 GRE           CORE             Plan TopologicalCrashes after around 8 hours of routing.
% h" C  E' B9 t4 L* J7 c) w1188193 CONCEPT_HDL   CHECKPLUS        CheckPlus notrecognizing PIN as a base object.( H6 u0 J9 h" e5 o
1189100 SCM           OTHER            Replace part inSCM using ADW as library fails5 V8 ?. x) V) K6 w, Y% a6 N) x0 D4 h! Z
1189507 SCM           SCHGEN          ERROR(SPCOCN-2009): Package error after second schgen run with Preservemode.
5 U: k, I& o9 U" ^1192391 CONSTRAINT_MGR CONCEPT_HDL      Restore from definition deletes localobjects in other blocks
7 f. g# q) N" V; H1194597 FSP           OTHER            Pin definitionproblem
6 P) t9 o# S; K0 b' |1195202 SIP_LAYOUT    LEFDEF_IF        Cannot add .leffiles in IC Library Manager. Getting warning message WARNING(SPMHLD-52)
4 l( p& M0 l4 d1195309 GRE           CORE             GRE crashingduring Plan Spatial.
- E# }; ?# ]4 q9 A7 Z6 R1197262 ALLEGRO_EDITOR MANUFACT         Angular Dimension created in symbol isplaced w.r.t. board origin and angle is blank
* Y7 ]: m, Q9 T+ L3 O/ ]1198521 CONCEPT_HDL   OTHER            Cadence DEHDLissue - Note for Hotfix_SPB16.60.016_wint_1of11 D! T/ y1 Q( n( ?6 I" I) ^( z/ g& z
1199219 ALLEGRO_EDITOR INTERFACES       Question on STEP Model export which usesPLACE_BOUND layer for any symbols that do not have STEP model mapped
- ^' w* }9 v0 J0 h% b* C1199235 ALLEGRO_EDITOR SCHEM_FTB        capture's behavior is redundant whilecreating pcb editor netlist. |, v7 S# D* `, f+ Z
1199323 GRE           IFP_INTERACTIVE  Crash whenimporting logic
- a6 m, b& n0 h3 X. \' d+ q2 M1199368 SIP_LAYOUT    DIE_EDITOR       Refresh of dieabstract in die editor with this design takes over two hours
8 [. u% r- x( E7 O0 R( p1199760 ALLEGRO_EDITOR DATABASE         Allegr won't display Soldermask Toplayer
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-9-22 01:20 , Processed in 0.062067 second(s), 33 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表