找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 2877|回复: 26
打印 上一主题 下一主题

导入网络表问题

[复制链接]

19

主题

102

帖子

953

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
953
跳转到指定楼层
1#
发表于 2008-3-18 13:29 | 只看该作者 回帖奖励 |正序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
请高手解答一下我这个问题,就是我在ORCAD里产生网络表有:pstxnet.dat   pstxprt.dat  pstchip.dat三个文件,
  _4 U$ L+ _9 I: h" f* S由于我是新手,所以在导入到PCB时就不知措了,因以前一直用的是PADS,所以好多习惯都改不过来,总以为导网表# c; i" ?7 o* \1 S0 ~  E" A. H
都是差不多的,但没想到ALLEGRO这么多步骤,烦请教各位高手解答,谢谢!

评分

参与人数 1贡献 +3 收起 理由
kxx27 + 3 鼓励一下

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

1

主题

6

帖子

-1万

积分

未知游客(0)

积分
-11954
27#
发表于 2009-7-9 12:40 | 只看该作者
26# zwp98
9 j2 E4 E: X& @2 b1 \% j导进去什么都没有,用viewlog查看提示以下错误,是怎么回事啊?
# h! D$ T6 Q9 y, F- s3 x5 M; T) W; p% A8 q  N" u5 E' ?
Cadence Design Systems, Inc. netrev 15.5 Thu Jul 09 11:25:57 2009
+ k3 u7 V+ K8 ?+ n(C) Copyright 2002 Cadence Design Systems, Inc.9 p+ s! ]* a! ]% L* z
------ Directives ------
* a5 `- j/ I0 u: `: W  P8 sRIPUP_ETCH FALSE;9 n2 I, S& P, ^; p
RIPUP_SYMBOLS ALWAYS;
- T9 B  j0 F* E9 hMISSING SYMBOL AS ERROR FALSE;
- J; J; A5 w6 [/ C2 OSCHEMATIC_DIRECTORY 'C:/Documents and Settings/Administrator/桌面/现场信息记录仪资料09.4.19/Project';  R6 A% _7 @  Y/ q1 o
BOARD_DIRECTORY '';  G1 G; z" `) M! q0 F
OLD_BOARD_NAME 'C:/Documents and Settings/Administrator/桌面/现场信息记录仪资料09.4.19/Project/cmos原理图/allegro/mt9d111.brd';
  }' e% O8 K0 R5 y, d5 W2 r8 {NEW_BOARD_NAME 'C:/Documents and Settings/Administrator/桌面/现场信息记录仪资料09.4.19/Project/cmos原理图/allegro/mt9d111.brd';
8 B. n" F7 Q$ W) xCmdLine: netrev -$ -5 -i C:/Documents and Settings/Administrator/桌面/现场信息记录仪资料09.4.19/Project -y 1 C:/Documents and Settings/Administrator/桌面/现场信息记录仪资料09.4.19/Project/cmos原理图/allegro/#Taaaaaa03240.tmp" v/ r" Y9 _" G
------ Preparing to read pst files ------
  O, a* X( p% c7 P- I' `3 b
# Z0 b7 T0 [5 Z( z% j( J! Z#1   ERROR(24) File not found; q3 R; h/ F; D& C
     Packager files not found0 j0 }, o  q; z: u0 o; T6 X" U7 y( z
#2   ERROR(102) Run stopped because errors were detected' E6 A4 R5 A! \+ L" \8 j" ?
netrev run on Jul 9 11:25:57 2009. Z* J2 i1 u& `5 `9 A1 E: y
   COMPILE 'logic'
4 J& B" H  F8 \; _4 y, P   CHECK_PIN_NAMES OFF% s2 O( r$ E! r" f; C
   CROSS_REFERENCE OFF# ~# h3 T, I# S
   FEEDBACK OFF  z! K% k" U3 X# y, G5 W6 C
   INCREMENTAL OFF" \: k& r9 L9 U8 f" i7 ^# _
   INTERFACE_TYPE PHYSICAL5 `6 l# t2 X- y; `) X6 s
   MAX_ERRORS 500$ \0 q6 E6 c' r. S% |8 ~
   MERGE_MINIMUM 5& e- W* [8 X$ o
   NET_NAME_CHARS '#%&()*+-./:=>?@[]^_`|', @7 U/ B0 U; j; \+ H
   NET_NAME_LENGTH 24
) @$ c  O0 r  D& s   OVERSIGHTS ON5 V! {/ E: e3 s- z* M
   REPLACE_CHECK OFF, W1 `) i5 j" q7 V/ w
   SINGLE_NODE_NETS ON* n0 i# @9 s8 V, u* Q# l/ I' E
   SPLIT_MINIMUM 0$ `  f0 C0 d$ X+ G" C
   SUPPRESS   20
) _5 w& [$ Z* y4 @+ s5 z2 i   WARNINGS ON
/ ^  i! a4 r! D) ~! f  2 errors detected8 S5 Q! b0 p( P' @8 F
No oversight detected
% c/ U& p3 k7 a4 r( M  V. ^  E$ I No warning detected3 A% L% D1 D$ {/ x# }+ C: s4 u
cpu time      0:00:04
' P1 o" x6 F, R2 H  q/ |elapsed time  0:00:00

19

主题

76

帖子

-2万

积分

未知游客(0)

积分
-24451
26#
发表于 2009-6-23 18:15 | 只看该作者
我也没搞明白呀,烦

1

主题

20

帖子

-8963

积分

未知游客(0)

积分
-8963
25#
发表于 2008-11-14 08:06 | 只看该作者
Cadence导出的文件就是有那三个,分别对应了零件的封装形式等

1

主题

20

帖子

-8963

积分

未知游客(0)

积分
-8963
24#
发表于 2008-11-14 08:04 | 只看该作者
3楼的说的对,就是那样倒,倒进去是看不到零件的,再点Place-Quickplace,摆放零件就看见了

3

主题

16

帖子

-8926

积分

未知游客(0)

积分
-8926
23#
发表于 2008-11-13 19:54 | 只看该作者
我看到的是txt文件!

3

主题

16

帖子

-8926

积分

未知游客(0)

积分
-8926
22#
发表于 2008-11-13 19:53 | 只看该作者

tel????

需要设置什么才可以生成tel文件?
Allen 该用户已被删除
21#
发表于 2008-3-19 22:29 | 只看该作者
提示: 作者被禁止或删除 内容自动屏蔽

139

主题

460

帖子

4604

积分

EDA365特邀版主

Rank: 6Rank: 6

积分
4604
20#
发表于 2008-3-19 20:35 | 只看该作者
记得大约在10年前在生成网表的选项上是选取telisis格式就可以生成tel文件了。

32

主题

165

帖子

-1万

积分

未知游客(0)

积分
-11427
19#
发表于 2008-3-18 15:18 | 只看该作者
我一上来学的就是这个,感觉也头大.# y; N! b4 o+ m$ y" u
才学了一个月,就让 我画六层板.差不多要崩溃了

19

主题

102

帖子

953

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
953
18#
 楼主| 发表于 2008-3-18 15:15 | 只看该作者
哎,是不是用习惯了PADS再学这个感觉很难啊,感觉和以前的思路大不一样,有没有初学者有同样的感受啊

32

主题

165

帖子

-1万

积分

未知游客(0)

积分
-11427
17#
发表于 2008-3-18 15:07 | 只看该作者
封装要自己再拖进来的,你看下file-viewlog
# C) V, X( c$ d" _$ i若没有错误,就说明已经导入了
9 h3 ^2 B( R& E4 z, i" Zplace-manually里可以手工摆放元件

19

主题

102

帖子

953

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
953
16#
 楼主| 发表于 2008-3-18 15:01 | 只看该作者
原帖由 kxx27 于 2008-3-18 14:42 发表 % q: g) |8 s& @4 Z. S1 Y
可能是你的原理图或BRD档有问题根本就没办法导,先检查一下吧。记得这个问题在本论坛里有讨论过,请楼主仔细找找相关贴子也许有收获。

( x6 W3 ]  U9 u% `- J% R. P' F  o8 D# J: y
现在可以了,刚才是我的路径没设置好,但是现在通过你刚才说的那种方法打开的PCB里面却什么东东也没有,是不是我的/ e: G8 E# ]# A  K8 q2 E
PCB封装库没有加载进去?

32

主题

165

帖子

-1万

积分

未知游客(0)

积分
-11427
15#
发表于 2008-3-18 14:46 | 只看该作者
奇怪了,我的可以啊
) Q! K* X% a  N# F$ A不过试了下,要在cadence选项下按import cadence才可以,不然也报错.不知道是什么原因
kxx27 该用户已被删除
14#
发表于 2008-3-18 14:42 | 只看该作者
提示: 作者被禁止或删除 内容自动屏蔽
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-9-21 03:15 , Processed in 0.621606 second(s), 42 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表