找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 186|回复: 3
打印 上一主题 下一主题

ERROR -- Invalid number

[复制链接]

1

主题

3

帖子

14

积分

二级会员(20)

Rank: 2Rank: 2

积分
14
跳转到指定楼层
1#
发表于 2015-11-16 22:24 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
本帖最后由 Eric71 于 2015-11-17 11:31 编辑 , B9 D4 k6 ~& D& Z& w

  \; Q% r% N) L/ d: o; [; j模擬時有這問題如何處理 ?' R9 z3 a( x" [8 I0 ~/ G3 P
1 h9 S  a7 e: ^" ]

2 C  V* v, T& `  A! K/ Q! o<<更新問題 >>% y" i! I# r2 O9 [

. O- F, F0 P4 Q3 O" q% W2 t, C/ A2 x& W8 T$ n/ M( _8 k; Q: o
8 }+ B) A& S. _8 t+ ?% s  `
**** 11/17/15 11:29:42 ******** PSpice Lite (June 2009) ******* ID# 10813 ****
- O' }) Z6 e' ?2 z2 R4 L3 J+ d3 E9 x. F3 R; t
** Profile: "SCHEMATIC1-Small Signal"  [ D:\CADENCE SPB\HomeWork\實驗4-6-pspicefiles\schematic1\small signal.sim ] % r) y1 W$ V; M& _% r. [& V- C

, h$ W' x  |# Z) L7 q2 X* {) \+ z  b/ y2 K" r$ @3 a
****     CIRCUIT DESCRIPTION% Q% |. N3 x' r- c

& K' E- Y# W2 g' Y
7 K9 ^! R$ c& {  v******************************************************************************
' N, H" k! |: ]' R  b3 j* g9 I& ?

4 W, o; \) B* E" D  g" s% u' I  h3 D

+ `/ m3 k/ h0 C3 ]  G. h4 O** Creating circuit file "Small Signal.cir" ; N, i" F7 y* H, {+ [1 g/ T
** WARNING: THIS AUTOMATICALLY GENERATED FILE MAY BE OVERWRITTEN BY SUBSEQUENT SIMULATIONS  n/ U* o) E) w0 V9 J: o
+ ]3 B3 _: I$ O0 i: Q0 C
*Libraries: : T! [' w1 |9 A% H' v
* Profile Libraries :$ g/ H6 p5 F; g  Q% R& D& k
* Local Libraries :
5 Q7 d* S& }  O% x/ D1 ], S& }) n* From [PSPICE NETLIST] section of C:\Cadence\SPB_16.3\tools\PSpice\PSpice.ini file:" @6 P. H- Y3 J! _) _: E
.lib "nomd.lib"
5 S- k# q% \1 X3 _# A2 \- }0 K+ U+ `: ]
*Analysis directives:
8 X- y( M+ K7 }: ~.DC LIN V(Out) -3V 3V 0.1 ! B1 G  T! C. J; E1 o5 Q4 n  f
----------$1 _: K3 i* `0 h
ERROR -- Invalid number
6 {1 f" q' J0 t.PROBE V(alias(*)) I(alias(*)) W(alias(*)) D(alias(*)) NOISE(alias(*)) % V" \, g3 V/ L5 w' k
.INC "..\SCHEMATIC1.net"
5 R8 ^1 L* V' q9 Y- c! L7 |  ^; A( h: W# A/ J

. Q. \3 v* k( ?1 R( P; I! ?
: t; J/ g& ]$ D5 a" B**** INCLUDING SCHEMATIC1.net ****
3 q& e+ b, r1 w6 ?  J* source 實驗4-6
, ^9 F9 y( y  D; {; y& sV_V3         VCC 0 DC 5v  
2 e& X* u4 c' s+ F" J3 dV_V4         VDD 0 DC -5v  
" G& i2 U; z! ^( ]' a! MX_U1         N00284 0 VCC VDD OUT uA741
2 g" d  \" _8 Q$ q- y! T* gV_V1         N00305 0 DC 1v  
3 h& o4 c2 k1 h% L7 H! W( B% k$ sV_V2         0 N00298 1v
/ t$ r" ?8 x, Z6 O9 V# _  PR_R1         N00305 N00284  5k TC=0,0 , A5 h4 g5 u# r( @3 V3 h9 L
R_R2         N00298 N00284  5k TC=0,0
$ G: b6 j& `+ F1 dR_R3         0 OUT  5k TC=0,0 ; ~4 g, Z0 P; c' J
D_D2         OUT N01053 D1N750
7 o6 [* {- d& ?5 C' c5 G3 Z. E% Y7 ]5 R) ID_D1         N00284 N01053 D1N750
5 r) e( [, S% B6 `; \2 |
  A, c; s' A2 y" J2 S! s  s) c**** RESUMING "Small Signal.cir" ****0 ?' F  g9 |+ }! }( a2 b
.END
: X; q0 f# Y. A$ {& _ 0 t2 `+ r, N% Q! y% y; {
- E* q% o: p1 [3 O3 \9 C
: ~! w! \; X& Y5 X1 m

電路圖.JPG (52.75 KB, 下载次数: 0)

電路圖.JPG
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

31

主题

4315

帖子

1万

积分

EDA365特邀版主

"學會了" 就簡單了.

Rank: 6Rank: 6

积分
19089
2#
发表于 2015-11-17 09:36 | 只看该作者
ERROR 通常是指上一行列有問題,不是ERROR的下一行列有問題。這樣看不出來問題,最好是ERROR前後都貼出來,這樣才好判斷。

点评

謝謝大大~~ 我更新問題了,這問題出現很多次模擬不出來~~  详情 回复 发表于 2015-11-17 11:33

1

主题

3

帖子

14

积分

二级会员(20)

Rank: 2Rank: 2

积分
14
3#
 楼主| 发表于 2015-11-17 11:33 | 只看该作者
jacklee_47pn 发表于 2015-11-17 09:36
+ {% f' |' S7 k# `5 M5 {+ B/ ?) \ERROR 通常是指上一行列有問題,不是ERROR的下一行列有問題。這樣看不出來問題,最好是ERROR前後都貼出來, ...

8 i, H: l9 a/ j謝謝大大~~ , Z/ g6 h8 ~5 r% {
# M+ I- p6 [& F. ~" n0 \2 g* G
我更新問題了,這問題出現很多次模擬不出來~~  C" h+ N- D2 P9 Q( n9 P2 l
. o9 g7 E' \; m$ q+ c- T! T

31

主题

4315

帖子

1万

积分

EDA365特邀版主

"學會了" 就簡單了.

Rank: 6Rank: 6

积分
19089
4#
发表于 2015-11-17 12:44 | 只看该作者
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-10-18 17:21 , Processed in 0.529762 second(s), 38 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表