|
EDA365欢迎您!
您需要 登录 才可以下载或查看,没有帐号?注册
x
我最近在看中国电力出版社出版的FPGA嵌入式系统设计与开发指南这本书,下面的代码是本书的第第一章的第三段代码,我使用QII 11.0WEB版本无论如何无法正确编译,总是提示10559错误,请各位高手帮我看下问题所在,谢谢!
% i5 g% o7 n! O' j/ ^# r& g: K6 Y- H5 S
. x) c3 S! \4 X+ z% S1 W8 M1 O" {/ J0 j
library ieee;
, j8 P7 t- @+ N, b; `& H- ? Xuse ieee.std_logic_1164.all;
8 E7 u! U; L7 s4 h$ F8 quse ieee.std_logic_unsigned.all;
7 p5 H7 O3 D; Y3 k. K) g1 r) aentity counter8bit is% Y9 A1 ~; F3 j" K$ i; E! g
port(int ,reset,enable: in std_logic;count_out: out std_logic_vector(7 to 0));
" C0 t2 t- `7 P' p) h1 O! o" P end counter8bit;9 f4 R+ Z l' @2 a$ b# [2 f
architecture counter of counter8bit is
8 E& m7 \/ i0 J- ] signal count_in: std_logic_vector( 0 to 7);% ?, _: C3 r* z% g( c7 c
begin
E! b6 s6 C4 M6 L7 ? process(input,reset): ]# p) d. s( P2 {( {
begin
, K* }* @+ j/ l. f0 g. n5 t wait until rising_edge(input);
) {* a" _$ J& j3 m if reset='1' then
0 r8 H6 L' d) R9 a" S count_in<=(others =>'0');
; K" p+ j& }, }. b- s G4 J% W elsif enable= '1' then
/ s7 P7 Z" Q6 B3 H5 ~3 f2 _ H6 s if (count_in="11111110") then
) O' C1 P5 Q# I! c, l) [: V count_in<="00000000";" k& d; M8 t- S( S, b, D' j9 q }
else; P* q3 _# g( c+ L
count_in<=count_in+1;
) {, t4 _( Z2 j& G, D% j end if;
! F* T) m! M9 N* W* z end if;+ a. j3 ~& T0 U6 I. \) P
end process;& N5 `/ i0 `2 s7 x0 B
end counter;
; \$ i: M% M; z
9 W: ?2 y; [0 @+ G: o U
2 _1 Y% z2 z- B n2 F+ k: }8 I D* Z5 x. B: o7 Y
lInfo: ******************************************************************** [. @! r% h v' v
Info: Running Quartus II Create Symbol File
1 Q& q5 G/ O l1 _ m9 U/ K Info: Version 11.0 Build 157 04/27/2011 SJ Web Edition
; `; n. P+ I5 D! V; [8 Y* I Info: Processing started: Wed Jul 24 14:43:41 2013: _3 P2 i) X3 L
Info: Version 11.0 Build 157 04/27/2011 SJ Web Edition5 e7 ^ _7 r) l7 X
Info: Processing started: Wed Jul 24 14:43:41 2013
2 P. N' I$ Y5 e. \0 x8 x# XInfo: Command: quartus_map --read_settings_files=on --write_settings_files=off penlvji -c penlvji --generate_
$ p% b) P+ k2 h- i' G% MError (10482): VHDL error at counter8bit.vhd(12): object "input" is used but not declared
+ w9 b4 ^2 Z- y C- C3 t, j9 WError (10559): VHDL Subprogram Call error at counter8bit.vhd(12): actual for formal parameter "s" must be a "signal"
, Z5 a2 z. D) uError (10482): VHDL error at counter8bit.vhd(10): object "input" is used but not declared
. i& v* X7 {: |* _2 W, ^Error: Quartus II Create Symbol File was unsuccessful. 3 errors, 0 warnings8 D' D) e6 p: @- M8 k% q
|
|