找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 960|回复: 0
打印 上一主题 下一主题

小白求教分频器

[复制链接]

1

主题

1

帖子

2

积分

初级新手(9)

Rank: 1

积分
2
跳转到指定楼层
1#
发表于 2011-5-4 14:02 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
architecture behv of cnt is 1 d0 g' O' {8 ~9 p
signal temp: std_logic_vector(19 downto 0);
6 @8 ]2 o+ j9 {( s- k/ J! ]* mbegin 5 A8 N8 h' {, M) p+ G: N
process(clk)
. q; y5 Y/ J( \( ?  r4 t+ S   begin + \) F+ {% j4 a6 N
    if clk'event and clk ='1' then ( a1 o0 a' |/ _, `5 V& ]4 B
          if temp="11110100001000111111" then 5 T6 r- J4 v3 }9 q
                temp<="00000000000000000000"; 2 s# r% y8 y( t
                   else 4 l3 k2 T6 Z/ m0 P* H+ i
                         temp<=temp+1;
3 ~# O8 Q. _" _9 x9 P7 c           end if;, E3 l: y. r8 i* w
    end if;
' {! `6 V& H4 {/ X4 Hend process; ( b9 F% {0 q- A
freq1<=temp(19);
3 b; }2 {8 ~6 H* _% ], `freq488<=temp(10);
0 O' U% v3 g& z3 }* k. hfreq1953<=temp(8);
" @; u# s9 r7 q) m' P8 }4 Zfreq7812<=temp(6); $ l* f5 J5 w  n. M$ l
freq31250<=temp(4); 3 o* ^4 k8 O8 }" T
freq125k<=temp(2); 7 w. C9 G% ]) Q3 u4 R
freq500k<=temp(0); 2 M" c9 o/ v' G0 s; E
end behv; : f- k5 D" G/ o+ k& D( ]
这是个多分频输出的分频器的VHDL/ q3 i9 p+ t( t2 ~& _% B- _
问下这个 temp() 是什么意思 ()里的数什么意思 是选择位数吗?9 j1 I+ u, W1 w$ a; [1 O
或者哪位帮我解释下原理
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏1 支持!支持! 反对!反对!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-11-28 08:29 , Processed in 0.056625 second(s), 39 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表