EDA365欢迎您!
您需要 登录 才可以下载或查看,没有帐号?注册
x
ISIM仿真内容如下,提示错误:[USF-XSim 62] 'compile' step failed with error(s)while executing 'F:/Camera_HDMI/Vivado/SCCB/SCCB.sim/sim_1/behav/compile.bat'script. Please check that the file has the correct 'read/write/execute'permissions and the Tcl console output for any other possible errors orwarnings.
0 o& v( J3 W, W4 |把实例化sccb_ip去掉就好了,但不知道为什么; ?* O8 x, n8 e. v( {+ }
module sccb_sim( ); reg [15:0] sub_addr; reg [7:0] data_from_cpu; // reg rd_wr,sio_en,sio_c,sio_d; wire [7:0] data_from_slave; initial begin sub_addr[15:0] <= 2'b01_1000; data_from_cpu[7:0] <= 8'd101; rd_wr <= 0; #10000 $finish; end reg clk = 0; always #10 clk <= ~clk; sccb_ip sccb_ip( .clk(clk), .sub_addr(sub_addr), .data_from_cpu(data_from_cpu), // .rd_wr(rd_wr), // .sio_en(sio_en), // .sio_d(sio_d), .sio_c(sio_c), .data_from_slave(data_from_slave) // ); endmodule 源码如下,综合已经通过 module sccb_sim( ); reg [15:0] sub_addr; reg [7:0] data_from_cpu; // reg rd_wr,sio_en,sio_c,sio_d; wire [7:0] data_from_slave; initial begin sub_addr[15:0] <= 2'b01_1000; data_from_cpu[7:0] <= 8'd101; rd_wr <= 0; #10000 $finish; end reg clk = 0; always #10 clk <= ~clk; sccb_ip sccb_ip( .clk(clk), .sub_addr(sub_addr), .data_from_cpu(data_from_cpu), // .rd_wr(rd_wr), // .sio_en(sio_en), // .sio_d(sio_d), .sio_c(sio_c), .data_from_slave(data_from_slave) // ); 4 A9 H: V* I! l7 m4 L4 `
endmodule
Y5 U S# X/ k O8 x1 I |