|
EDA365欢迎您!
您需要 登录 才可以下载或查看,没有帐号?注册
x
下面程序中有错误,新手,不知如何解决,求解答( ~. j3 B& i! W4 }: P+ l6 x, j
library ieee;
. @+ q) I& y1 S, B8 n5 Yuse ieee.std_logic_1164.all;
- T: a/ Q% w! S" O) zuse ieee.std_logic_arith.all;& U2 m9 p/ x; J5 q) a3 e. Q
use ieee.std_logic_unsigned.all;# W! `, @7 v8 A6 O# Q; K; A3 Y
9 `0 _9 g0 \" W/ R# b
entity mycounter_60 is
4 t' Z; M8 S; n2 @" q: D/ x& s port(clk,clr,en,bcd1wr,bcd10wr:in std_logic;0 v8 z# c% X7 O! r
din:in std_logic_vector(3 downto 0);
) n7 k. z5 U0 f5 _# ] bcd1: out std_logic_vector(3 downto 0);
. u* F [6 _$ f6 K) K co: out std_logic;5 {6 y/ }( n: z, L; ]
bcd10: out std_logic_vector(2 downto 0));7 N' X7 d; n5 P! ~1 O% O, K
end mycounter_60;7 j3 f0 K5 ~/ T: d
# z1 S4 v, }( p" i' q0 |3 s
architecture art2 of mycounter_60 is2 _4 a0 w% e/ b6 [/ X0 }5 F7 y
signal bcd1n:std_logic_vector(3 downto 0);, s3 U8 u- Z' Q; J' d" U5 \
signal bcd10n:std_logic_vector(2 downto 0);
; L: M& W, j5 B# O3 xbegin
. @4 b8 ~" U1 L8 `, [" ^ PROCESS(clk,clr,en,bcd1wr) is4 Z, V* Y: q+ _8 a
begin1 V- d& ^' |" n, Z; y7 F- o
if(clr='0') then
& |) I% O& _7 z B4 q8 d bcd1n<=(others=>'0');
" }1 u( b( I1 P; \: Z; W$ N8 l bcd10n<=(others=>'0');1 i# L7 {" ?4 {! ~- G
elsif(clk'event and clk='1') then
U) R, ~4 G! } if(bcd1wr='1' and en='1') then
/ v h8 q$ q, ^ bcd1n<=din;# `3 q* q1 ~- z
elsif(en='1') then. A, k& z. t9 L5 W- U
bcd1n<=bcd1n+1;, T* P" O) q$ C+ V7 F
if(bcd1n>=9) then
0 e4 L4 n9 s% i5 | w bcd1n<="0000";4 c" D% `3 U+ g) Z
end if;
7 x% W& f1 d3 T' X6 L end if;% Y* i2 J7 H3 u- ?9 b& q, w
end if;
4 }" K; u9 ^* a end process;/ R0 q$ u( M% h
3 A, e4 c: I& v }6 M# }+ C process(bcd10wr,en,bcd1n) is, S/ B" m% e7 J. w4 s& v `
begin
) ?5 h# ]& ]( l) X0 ]; z6 N) e1 R if(bcd10wr='1' and en='1') then$ _) B6 b4 |( |* J" H0 r" Y
bcd10n<=din(2 downto 0);; K, H, l( B0 O( Y
elsif(bcd1n=9 and en='1') then
# s9 E& s7 N6 t6 w bcd10n<=bcd10n+1;
# O- a* r! |5 |6 [ ^9 D if(bcd10n=5) then" v/ J8 d2 G; N7 y: _4 l+ c7 d6 G
bcd10n<="000";
* ~. Y- u4 W1 Q- W3 p0 l3 o co<='1';
7 |/ o& W1 W# {& L+ P! G else7 g' @5 i" S/ y* C) U* K! k2 z$ y
co<='0';
" k! w$ i% g* h# k end if;
& m4 I7 M6 \+ g! l end if;: `7 b: ^4 v/ O& u1 D9 S! }* B
end process;
" M8 d7 G4 k0 i% q # r, m: p! q: x7 ^. }4 V
bcd1<=bcd1n;
9 H3 y( L. h5 s' n }) p, p bcd10<=bcd10n;6 C8 E. c1 M# G
end art2;
' P" I/ h1 v4 V5 p# ^7 s. M' P0 c$ ~, |. Y4 Q' [ h
非常感谢 |
|