找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 721|回复: 1
打印 上一主题 下一主题

程序错误,求救

[复制链接]

2

主题

18

帖子

-8983

积分

未知游客(0)

积分
-8983
跳转到指定楼层
1#
发表于 2011-10-12 15:41 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
下面程序中有错误,新手,不知如何解决,求解答( ~. j3 B& i! W4 }: P+ l6 x, j
library ieee;
. @+ q) I& y1 S, B8 n5 Yuse ieee.std_logic_1164.all;
- T: a/ Q% w! S" O) zuse ieee.std_logic_arith.all;& U2 m9 p/ x; J5 q) a3 e. Q
use ieee.std_logic_unsigned.all;# W! `, @7 v8 A6 O# Q; K; A3 Y
9 `0 _9 g0 \" W/ R# b
entity mycounter_60 is
4 t' Z; M8 S; n2 @" q: D/ x& s    port(clk,clr,en,bcd1wr,bcd10wr:in std_logic;0 v8 z# c% X7 O! r
         din:in std_logic_vector(3 downto 0);
) n7 k. z5 U0 f5 _# ]         bcd1: out std_logic_vector(3 downto 0);
. u* F  [6 _$ f6 K) K         co: out std_logic;5 {6 y/ }( n: z, L; ]
         bcd10: out std_logic_vector(2 downto 0));7 N' X7 d; n5 P! ~1 O% O, K
end mycounter_60;7 j3 f0 K5 ~/ T: d
# z1 S4 v, }( p" i' q0 |3 s
architecture art2 of mycounter_60 is2 _4 a0 w% e/ b6 [/ X0 }5 F7 y
signal bcd1n:std_logic_vector(3 downto 0);, s3 U8 u- Z' Q; J' d" U5 \
signal bcd10n:std_logic_vector(2 downto 0);
; L: M& W, j5 B# O3 xbegin
. @4 b8 ~" U1 L8 `, [" ^    PROCESS(clk,clr,en,bcd1wr) is4 Z, V* Y: q+ _8 a
    begin1 V- d& ^' |" n, Z; y7 F- o
        if(clr='0') then
& |) I% O& _7 z  B4 q8 d            bcd1n<=(others=>'0');
" }1 u( b( I1 P; \: Z; W$ N8 l            bcd10n<=(others=>'0');1 i# L7 {" ?4 {! ~- G
        elsif(clk'event and clk='1') then
  U) R, ~4 G! }            if(bcd1wr='1' and en='1') then
/ v  h8 q$ q, ^                bcd1n<=din;# `3 q* q1 ~- z
            elsif(en='1') then. A, k& z. t9 L5 W- U
                bcd1n<=bcd1n+1;, T* P" O) q$ C+ V7 F
                if(bcd1n>=9) then
0 e4 L4 n9 s% i5 |  w                    bcd1n<="0000";4 c" D% `3 U+ g) Z
                end if;
7 x% W& f1 d3 T' X6 L            end if;% Y* i2 J7 H3 u- ?9 b& q, w
        end if;
4 }" K; u9 ^* a    end process;/ R0 q$ u( M% h
   
3 A, e4 c: I& v  }6 M# }+ C    process(bcd10wr,en,bcd1n) is, S/ B" m% e7 J. w4 s& v  `
    begin
) ?5 h# ]& ]( l) X0 ]; z6 N) e1 R        if(bcd10wr='1' and en='1') then$ _) B6 b4 |( |* J" H0 r" Y
            bcd10n<=din(2 downto 0);; K, H, l( B0 O( Y
        elsif(bcd1n=9 and en='1') then
# s9 E& s7 N6 t6 w            bcd10n<=bcd10n+1;
# O- a* r! |5 |6 [  ^9 D            if(bcd10n=5) then" v/ J8 d2 G; N7 y: _4 l+ c7 d6 G
                bcd10n<="000";
* ~. Y- u4 W1 Q- W3 p0 l3 o                co<='1';
7 |/ o& W1 W# {& L+ P! G            else7 g' @5 i" S/ y* C) U* K! k2 z$ y
                co<='0';
" k! w$ i% g* h# k            end if;
& m4 I7 M6 \+ g! l        end if;: `7 b: ^4 v/ O& u1 D9 S! }* B
    end process;
" M8 d7 G4 k0 i% q   # r, m: p! q: x7 ^. }4 V
    bcd1<=bcd1n;
9 H3 y( L. h5 s' n  }) p, p    bcd10<=bcd10n;6 C8 E. c1 M# G
end art2;
' P" I/ h1 v4 V5 p# ^7 s. M' P0 c$ ~, |. Y4 Q' [  h
非常感谢
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

6

主题

30

帖子

144

积分

二级会员(20)

Rank: 2Rank: 2

积分
144
2#
发表于 2012-2-24 17:09 | 只看该作者
这些都是小错误,希望细心一点
5 U; {) J& Q' L7 G  _0 J; _library ieee;
# x, S4 p0 w% k( f) uuse ieee.std_logic_1164.all;
% j) @0 B: U. f8 suse ieee.std_logic_arith.all;0 W) v6 e- F+ U" k8 J
use ieee.std_logic_unsigned.all;+ V4 Q: I3 O- Q# n) U" g$ j
entity  mycounter_60   is
" I/ @* B0 ]6 y* F9 A' c3 t. jport(  clk,clr,en,bcd1wr,bcd10wr :in std_logic;" I* R- M1 _6 c5 T2 w
        din:in std_logic_vector(3 downto 0);9 e6 Q) L* ?9 D0 d  g7 \
        cout std_logic;
- M( @8 {; {5 m* i2 p& L: c       bcd1 ut std_logic_vector(3 downto 0);
' ]. E, `/ O6 r! n# [        bcd10 ut std_logic_vector(2 downto 0)+ R. F4 K* h) s6 V  m( q. m
       );
9 G/ k9 l& ^* P$ P! U! C; W! Wend;3 E/ w' y( u+ a& S! a. h
architecture behav of  mycounter_60   is
9 ~4 R/ z: b  osignal bcd1n:std_logic_vector(3 downto 0);) Y, o3 V( H3 P* \
signal bcd10n:std_logic_vector(2 downto 0);+ Q; w2 V( r+ z% P; H

; O$ F9 l- t0 Z! s7 Zbegin
, T3 U2 u; j5 V7 r) }, T   process(bcd1wr,clr,en,clk)
0 W3 Y% N& P4 j0 x8 O# f$ e: C& S   begin   \/ c# Z7 Z1 ?5 O1 ?. H' E! @
if(clr='0')then# h/ U, R5 P/ W% J3 {
bcd1n<=(others=>'0');
  w9 E/ ?8 P0 b- @0 V) f; c* m" D9 x- S+ p" n
elsif(clk'event and clk='1')then  P4 O; h+ V( a4 v5 N! u
if (bcd1wr='1' and en='1')then  t4 V6 m: \7 n5 [# D4 ?
bcd1n<=din;# i3 B* }% x  o1 O5 |6 q7 s
elsif (en='1')then
2 H. O3 t# E3 J6 q) `% H$ }" B2 Mbcd1n<=bcd1n+1;- w9 i4 B! S& n/ @! w; _2 ]
if (bcd1n>=9)then
2 L! p$ I7 t, w8 bbcd1n<="0000";
2 `0 ^# B* m; C+ f% Z
! ?5 m/ |1 g& k% N) T! m* ^end if;3 D' A( h$ B) i' B
end if;7 E5 R% @9 ^8 ~% H$ x: Z" v  Z
end if;! ^/ K/ q$ t; w5 c
end process;
, e9 p+ |) b/ K" q7 S# t" Z: D0 E8 l( W, g4 z" Z8 E

9 L. O- C0 O( Q# G5 {9 Sprocess(bcd10wr,en) ; E5 g5 S7 @( f+ @" n
begin
3 U. d9 l0 F/ ?if(clr='0')then( r- {7 m% H) O
bcd10n<=(others=>'0');2 J2 \: ]: X2 d8 `! p
if(bcd10wr='1' and en='1')then; B$ n8 b* u& ?" A/ o
bcd10n<=din(2 downto 0);
& @' L. n! F7 Z; q9 C) f5 ielsif(bcd1n=9 and en='1') then0 T1 ?9 r( d3 F& T, u
bcd10n<=bcd10n+1;
* ^4 `5 d( ^, r- mif(bcd10n=5) then/ y: u& \; M/ g! I1 `9 [
bcd10n<="000";+ S7 x1 D6 X2 n2 v' w  X
co<='1';
( w: [6 j6 E8 D; S2 b1 d* yelse. T) b2 |, e3 z' W$ H4 E0 ^/ p
co<='0';- [2 c: y/ i! l; W% U
end if;
+ M: ^9 ^4 v) ?. N6 X- Rend if;
$ s0 v. V8 e3 V+ j- N6 Oend if;
  b( {3 N6 ^, \- f7 X$ z# Q* g  lend process;
- D5 i3 [4 C/ v
7 h1 n" l! V% ~4 y$ {bcd1<=bcd1n;  I) l; ]% Y) j) m' e! Z" o- Y
bcd10<=bcd10n;) j( e0 d* \' k5 `  H, w( a
end behav;
0 u4 e* |- g9 n0 M; l! b      
: g- u  J! K. {) Q     
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2025-2-20 16:36 , Processed in 0.056818 second(s), 32 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表