找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 2511|回复: 8
打印 上一主题 下一主题

testbench如何产生27MHz的时钟

[复制链接]

12

主题

80

帖子

551

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
551
跳转到指定楼层
1#
发表于 2013-5-9 21:30 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
本帖最后由 schx 于 2013-5-9 21:38 编辑 + b) R( d3 O3 I$ a, N

  |  `" y$ k/ d- M" F如题,是不是只能用`timescale然后再always #延迟 clk=~clk 这样来产生呢?有没有其他的办法。
& M' k* v7 P: b3 Z; a4 ~9 {+ I$ \$ [最近面试一家公司,给我的笔试题中有一道仿真题:用testbench写出以27MHZ时钟时序为基准的10位的随机数串,个数不计,并用modelsim仿真出来。
- j1 `3 S7 S" w% l0 v+ M, C
- i  |6 g$ y/ S2 ^* i- R由于小弟没怎么写过fpga程序,所以请假版上各位朋友,这道题所谓的10位随机数串是每个时钟周期产生一个bit还是10个bit。。/ G! v) D( ]9 @4 W4 V5 k& ]
8 Q. M; y+ F& S1 O8 |' }
reg [9:0] rand_data;
( f' v- J' w3 t' A6 l5 w6 E0 H. M, M% r. ]' `4 j, T2 {. }
rand_data={$random}%1024;
" x9 w: l! h9 j0 G, w& U; }4 a2 x  J这样可以吗?
% E' D! p: M0 X; M5 c( X3 q2 v
+ \+ ?% {" a2 _" I. X+ _小弟电脑上连modelsim都木有装 = =
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

49

主题

670

帖子

4310

积分

五级会员(50)

Rank: 5

积分
4310
2#
发表于 2013-5-14 21:31 | 只看该作者
本帖最后由 zgq800712 于 2013-5-14 21:32 编辑
, N) K, w5 [  ?$ o, A( V9 o- e# L; ~9 z0 d7 G
`timescale 1ns/1ps8 Q, A0 ]8 S: d4 Q
2 ~8 Q( }( d0 {+ M$ u! b: ^# O
module fifo_top_tb();3 C( S2 }5 a# j3 o& b: G
    reg clk;
  \# r' U/ }- N9 ~, ]% X    reg rst;
, r0 s2 L2 b. e5 V1 G) m0 k    reg wr_en;
/ [* w. \0 g/ P. i0 ]& K" n8 w    reg rd_en;
! E1 [2 a3 P2 l" w3 \$ Z    reg [7:0]data_in;7 v9 ?" r& T5 k( M
    wire [7:0]data_out;
) r" H5 g% s' c" j- J    / h0 S) O9 o8 I  V3 l
fifo_syn_top myfifo(.clk(clk),. _" Y" L7 W# j0 c- D: ~
                    .rst(rst),
6 o( H; p  M7 I                    .wr_en(wr_en),. H6 \- y  H, {% M
                    .rd_en(rd_en),
: w9 N7 |! U. A2 m# m' H8 Q1 T2 O                    .data_in(data_in),& W1 I( L/ K0 A' O. r  s9 O
                    .data_out(data_out));
) N5 o* j5 V: h6 s  U1 \) einteger i,j;- f" M2 t- z. @0 D; d
parameter T = 18.518;
! w1 f) F( n( [" A  Walways
5 ], k/ v4 X6 H% t$ Pbegin
- O: a! E0 W4 ~! c6 y; }        clk=0;- C6 a# j+ G6 f( ?
        #T ;
. r8 n$ G5 M2 w+ y        clk=1;
4 N/ U' h. u. B7 G* `8 J        #T ;
; m+ N; G' H; e( Y- P& P9 {end! y2 c- b+ n% H5 k8 f4 o8 o& c
% ~2 H; K" M1 ]0 [- l. p: {
initial, w- z) C% a  G9 a4 m/ O
  begin1 w! `+ T3 D/ j1 ~  a5 k4 z
    clk=0;  I+ x- ^- }8 Q8 H) |5 m2 d
    rst=0;- v$ z0 g6 Y9 a/ W* ^; R6 s, Z# f# n
    wr_en=1;- b# `; {2 [$ f, N: }
    rd_en=0;! z( b7 t* u. n3 V- A. S- V
    #20 data_in[7:0]=8'b11111111;
9 k2 |9 [7 J3 P9 N& V    #200 rst=1;5 Y! f4 {. \- A9 X& V
    wr_en=1;
/ Q( b0 E* B4 ]0 W5 a  e# h    rd_en=0;
2 o% c5 G, I. A0 F; D7 ?7 i* M     repeat(10)
$ W  [7 a3 u. U- V      begin
/ Z+ h  Y5 D7 A& L        #100 data_in[7:0]=$random;          
- t+ `% j5 l+ B6 f1 O$ v& c: I      end
! ]* P: H: `5 H- q% c    #6400 wr_en=0;
* J( U& e7 T2 H+ d( h' N    #10 rd_en=1;
1 E5 k4 C$ S/ R- d         #6400  $stop;
' r1 R5 }5 c# y0 {+ o. a  end
, N+ T; K$ g2 I, c9 a) x  5 h" g) H! U; x/ V& ^, j: R! s
endmodule  & d( b% Z8 {; {9 R, Q2 @$ r% q
9 S2 B9 S% y8 q) i

) |6 v9 M2 K  ]9 N0 x
: H" l( I- m& J/ _3 T6 n楼主 你难道要 1ns/1fs    ?   这样?
, z$ x& S9 t2 n3 _" y" O. O$ f
硬件工程师[原理图+PCB],电驱动方面,无刷控制器,电动工具,太阳能无刷泵,锂电保护板,仅限Altium。

12

主题

80

帖子

551

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
551
3#
 楼主| 发表于 2013-5-16 23:12 | 只看该作者
zgq800712 发表于 2013-5-14 21:31
% c4 n( l0 F0 s) T5 Y`timescale 1ns/1ps# V+ I( J( N4 e; V! T; v; X: [

) l" ^7 N- T& M' Lmodule fifo_top_tb();

# m! K; X1 U+ F' C, j( S2 ?5 H9 [5 p嗯,没什么问题了,小弟菜鸟,没怎么实际写过verilog程序以为这题有什么玄机  =。=,其实最后我也是这样来产生时钟的。

49

主题

670

帖子

4310

积分

五级会员(50)

Rank: 5

积分
4310
4#
发表于 2013-5-16 23:21 | 只看该作者
schx 发表于 2013-5-16 23:12
) }/ m; S7 S2 H/ P+ o嗯,没什么问题了,小弟菜鸟,没怎么实际写过verilog程序以为这题有什么玄机  =。=,其实最后我也是这样来 ...

5 v1 G1 [0 j  K" t1 R我也是菜鸟,多自己看的,现在找到工作了?
硬件工程师[原理图+PCB],电驱动方面,无刷控制器,电动工具,太阳能无刷泵,锂电保护板,仅限Altium。

12

主题

80

帖子

551

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
551
5#
 楼主| 发表于 2013-5-27 10:00 | 只看该作者
zgq800712 发表于 2013-5-16 23:21
) ?# p/ s5 O9 I4 ~- U7 Z' n4 X我也是菜鸟,多自己看的,现在找到工作了?
+ n* C* Y$ }  {4 q9 _9 s$ N  \
恩,现在找到工作了,今年形势比较差,所以在杭州这边一家医疗器械创业公司先做了。。

49

主题

670

帖子

4310

积分

五级会员(50)

Rank: 5

积分
4310
6#
发表于 2013-5-27 15:22 | 只看该作者
schx 发表于 2013-5-27 10:00 $ t; {! o8 U0 T& j) m" I$ I! J0 _0 ~
恩,现在找到工作了,今年形势比较差,所以在杭州这边一家医疗器械创业公司先做了。。
3 a! @) _8 l# F" {
哈,是FPGA的嘛?
硬件工程师[原理图+PCB],电驱动方面,无刷控制器,电动工具,太阳能无刷泵,锂电保护板,仅限Altium。

12

主题

80

帖子

551

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
551
7#
 楼主| 发表于 2013-5-27 22:45 | 只看该作者
zgq800712 发表于 2013-5-27 15:22
: Y& {# v8 N4 r! s* k哈,是FPGA的嘛?

+ s# Z# D  ^7 _% A$ V5 N嗯,硬件和fpga都做。

49

主题

670

帖子

4310

积分

五级会员(50)

Rank: 5

积分
4310
8#
发表于 2013-5-28 11:14 | 只看该作者
schx 发表于 2013-5-27 22:45
  g0 S5 u8 G$ o5 y* S( |9 \嗯,硬件和fpga都做。
$ e: ^$ B+ t  A4 T) @: B
恩,一般不分的公司就是FPGA相关倾向于让硬件工程师做,哈,多看看熟悉下公司产品渠道,客户等。
硬件工程师[原理图+PCB],电驱动方面,无刷控制器,电动工具,太阳能无刷泵,锂电保护板,仅限Altium。

0

主题

5

帖子

6

积分

初级新手(9)

Rank: 1

积分
6
9#
发表于 2013-7-4 13:27 | 只看该作者
没有功能仿真,希望能给你一些参考吧
8 `/ r4 [, p8 r# f( G0 \, R`timescale 1ns / 1ps/ y$ ]; m1 }! n& M8 S6 I

) Z7 c# O& a5 k! O+ t% Bmodule test;
6 e8 i. v/ T" }8 C# X9 T* ~6 T
" h! d4 W8 e1 i: Mparameter CLK_PERIOD = 37.037; //27mhz 对应的时钟周期
. |) d6 B' \  ?# Breg sys_clk;
% S: U1 i9 B$ P4 S7 }& s0 u4 K) preg reset;/ R9 s; q" y4 U5 e- K$ x# O
initial begin
' q. W5 A" p- O* V5 U  sys_clk = 0;' L& V9 k4 @& y, J# A
  reset = 0;
$ K3 Q8 h. |5 [4 b( N8 v  #10 reset = 1;/ r& J* ~3 M7 l0 p7 O- |# ^+ `6 X8 o$ l4 S
  #100 reset =0;
7 d- n; f4 s* i3 Yend2 W* T  R2 O$ g) H4 P
// 产生27mhz时钟' h% v+ j6 s3 j  V
always #(CLK_PERIOD/2) sys_clk = ~sysclk;8 G2 R. c" m5 K; z. d
  v9 D( Q/ I. j( h6 d# K
reg [9:0] rand_data;
3 @/ B: G% E: r  I+ S& o) X! [
/ p! Q. y' r6 D6 M+ oalways @ (posedge sys_clk) begin4 Y1 L3 M4 F- T" Z' c+ _" S
  if(reset)
  W9 _8 u( ^0 R" y, S    rand_data <= 0;8 Q/ V8 i/ t5 ~) o0 M
  else
( I! \4 h# r  J    rand_data <= $random;
1 W9 h0 E! Y% q+ N4 w7 @: j6 send0 l% e  r5 r6 ?$ W9 F
endmodule
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2025-2-19 06:44 , Processed in 0.067645 second(s), 33 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表