找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 715|回复: 1
打印 上一主题 下一主题

程序错误,求救

[复制链接]

2

主题

18

帖子

-8983

积分

未知游客(0)

积分
-8983
跳转到指定楼层
1#
发表于 2011-10-12 15:41 | 只看该作者 回帖奖励 |正序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
下面程序中有错误,新手,不知如何解决,求解答
9 ~4 g6 p3 c5 d: e0 ilibrary ieee;+ |* G& {6 {2 g" t, u1 _. o
use ieee.std_logic_1164.all;
: w0 X; `/ U+ ?, j7 j. H$ J3 Nuse ieee.std_logic_arith.all;" H, g  W! j% k4 ]: N7 X/ z1 g3 _6 i
use ieee.std_logic_unsigned.all;
- \! S( C8 L6 J2 \" N, m8 @) z2 L3 ?9 a9 |& c; u! h. c) T
entity mycounter_60 is& l0 k) O( f2 r8 ]
    port(clk,clr,en,bcd1wr,bcd10wr:in std_logic;4 ?/ ^  i4 U$ n/ z, j
         din:in std_logic_vector(3 downto 0);, k: F) B/ F  l) T" j6 z
         bcd1: out std_logic_vector(3 downto 0);
0 }5 o% i$ O# ]% D; ?  @         co: out std_logic;/ P& u: Q& F& R  P# R3 ]9 h3 [* L, Q: M
         bcd10: out std_logic_vector(2 downto 0));
, r" q% a; B! J8 Fend mycounter_60;
4 J) A1 r2 H& u- M2 J% g5 ?# J/ D8 Q( m' n5 V' x
architecture art2 of mycounter_60 is* f$ e; a* ]  N# b( Q
signal bcd1n:std_logic_vector(3 downto 0);
! O: `7 d; I) y, T1 _+ Hsignal bcd10n:std_logic_vector(2 downto 0);* ~) I  G6 J: d; ~! H6 B
begin
( Z/ N, ]9 W* I& V( l# V$ I    PROCESS(clk,clr,en,bcd1wr) is* l0 X$ o+ U: H# f5 `
    begin
8 t0 u: I- \: w% P) k  p4 C$ I        if(clr='0') then
' X0 Y6 f' V- Q9 A6 \9 Y            bcd1n<=(others=>'0');0 s/ }( |2 Z6 y; J' r$ D/ u3 l0 {
            bcd10n<=(others=>'0');2 K  m6 Q3 J( I+ {* M
        elsif(clk'event and clk='1') then
( q" J% D6 _% f$ s% E            if(bcd1wr='1' and en='1') then0 @3 h& c* }& h0 [* O
                bcd1n<=din;
6 @/ U, e  W+ d            elsif(en='1') then
; O0 _1 L: d5 g% H8 N6 r4 j                bcd1n<=bcd1n+1;# }9 t+ C- P$ s! V1 M2 P
                if(bcd1n>=9) then. z# }( y: w. ]2 P
                    bcd1n<="0000";! J+ [5 H; @: b1 u5 M$ v
                end if;, J/ s" z$ L& H5 {  V8 t; }
            end if;
& y. u& o5 t* g        end if;
8 c+ a; x4 k# c& [+ s    end process;
9 R/ y/ G: G% s% ^1 H   
7 x$ }1 H  c, o6 j6 U" O    process(bcd10wr,en,bcd1n) is
* t& y' U6 Y% j+ r7 Y. T    begin
! Y- e# _% A" X$ O) R        if(bcd10wr='1' and en='1') then2 ?9 @7 J' V3 L- y! g. z* o3 K
            bcd10n<=din(2 downto 0);9 z3 V6 M2 J! w% x' h( e- E4 {6 s
        elsif(bcd1n=9 and en='1') then, q5 r0 m. q1 i+ j6 U8 s
            bcd10n<=bcd10n+1;
* T: U, @  U! l' Y; y7 [2 ]6 Q+ q            if(bcd10n=5) then6 X' x( r9 L6 b5 V& u
                bcd10n<="000";& P9 G& q' n1 k+ a3 M
                co<='1';+ s9 a  v! h; s' w/ }6 p
            else
) w+ t& w2 Y6 f                co<='0';0 E- i0 F% @+ n7 R, O
            end if;: F$ X0 Y7 L! n- ~% h3 _; x1 K
        end if;
3 k9 }9 B5 V& S4 A8 P% C% t9 x8 e    end process;9 Y6 w% E& y2 h1 F& _
   
8 o7 r; F/ `6 x4 X  Q    bcd1<=bcd1n;6 M6 U2 E% I' ?# l: v% a( O
    bcd10<=bcd10n;
% x% }7 r( i; }! L# j+ K2 Yend art2;- W2 }" l6 \* L

% _7 K' c6 e) |# b- x2 H" y非常感谢
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

6

主题

30

帖子

144

积分

二级会员(20)

Rank: 2Rank: 2

积分
144
2#
发表于 2012-2-24 17:09 | 只看该作者
这些都是小错误,希望细心一点3 H1 E* ^7 I5 F8 {
library ieee;
9 @, v* }  v7 p* Luse ieee.std_logic_1164.all;7 k' E1 ^0 J4 Z( i+ y
use ieee.std_logic_arith.all;$ B7 T5 F, L0 r1 i
use ieee.std_logic_unsigned.all;
1 n! q/ V8 f  c# F1 aentity  mycounter_60   is+ }5 O# M2 V9 |9 _! |4 f
port(  clk,clr,en,bcd1wr,bcd10wr :in std_logic;& {* }' |+ S6 B+ j+ `* f$ D+ f
        din:in std_logic_vector(3 downto 0);! Q) V( c+ A, Z# ~  E
        cout std_logic;( `4 F. e9 _- f6 F* N
       bcd1 ut std_logic_vector(3 downto 0);
0 |4 `% o  v; H7 O3 T' P        bcd10 ut std_logic_vector(2 downto 0)
+ l! P/ `3 q& E4 H       );5 X6 t8 K" M  f2 Q
end;* t, @. u8 Y2 Z) x" l0 T- W- d0 i
architecture behav of  mycounter_60   is* a/ f( p- R- T7 f6 e
signal bcd1n:std_logic_vector(3 downto 0);
3 [, |: a# i" b* E$ a8 a+ Q( Xsignal bcd10n:std_logic_vector(2 downto 0);' H! O2 ]4 b5 j- g0 l/ l8 x6 w( U& h

2 R" _! O( }/ obegin
$ _, V. e$ _  m1 y$ l- X   process(bcd1wr,clr,en,clk)
; l8 W# t/ [- P' m  |" V   begin " S$ q8 E4 o. v) F
if(clr='0')then
  @( G8 k0 p. l0 Z" S6 X" W! z% `bcd1n<=(others=>'0');
  \* B/ P2 H1 [$ U) Z' ^* r. R: @3 Z) j
elsif(clk'event and clk='1')then
0 R8 O7 ?( Y2 J% @if (bcd1wr='1' and en='1')then( B5 c0 m, w; T
bcd1n<=din;
: @# B2 Y) ~+ m. g: eelsif (en='1')then0 Q$ V' y! G: b8 n5 \
bcd1n<=bcd1n+1;2 L: x1 [+ j* u. o& E0 S
if (bcd1n>=9)then
+ ~( n- C8 B  `. k+ W& Obcd1n<="0000";
  z1 T9 d; N" z
  F: _8 ?* t9 w& _9 X, S6 n& yend if;# S5 J" @2 \, q5 K, d# U( u
end if;3 }$ I0 u# \* @2 l0 k4 ?! \
end if;
+ W, p' o! Y# \# [end process;3 @! Q" ?6 O$ K8 Y$ a

* V! L  @3 Y  |& k7 W( d0 x/ e1 V  h# @4 A! D
process(bcd10wr,en)
" K8 K& Y  R5 q9 q6 n, ]8 ]; U) lbegin' }8 T7 \2 R9 |, L8 x
if(clr='0')then8 X( O# C" m+ x/ X6 N  e
bcd10n<=(others=>'0');
; b0 n7 U: ^4 i$ d$ D; [5 {if(bcd10wr='1' and en='1')then; j- \3 q) D& O9 h
bcd10n<=din(2 downto 0);
% t8 O" Y& O, k6 p% Pelsif(bcd1n=9 and en='1') then( y6 G) @+ R) W; I  d
bcd10n<=bcd10n+1;
' j8 _6 U: ^) P- G' xif(bcd10n=5) then
* J1 e+ u4 H3 z" Q* n1 mbcd10n<="000";
. |" L. K3 u- o; r. I; |co<='1';
. S1 J  o& n# F9 Oelse
7 G9 @: k: |3 i1 w, {co<='0';0 D, F/ }7 g2 D
end if;
" x' ~& b9 E3 F6 R+ j, Send if;
& \% \: g& B9 E' E+ kend if;, @% ]# t" L+ }& q5 C0 T
end process;$ A9 X. i7 F  H$ X, c% l

7 A3 T7 ?* d# m/ r/ t7 vbcd1<=bcd1n;
; |1 h5 G# Q' N5 J4 @bcd10<=bcd10n;
% w$ l, ^- S* N$ e: l7 M: [* ^! q" lend behav;) f6 N6 X4 Q1 X( J& C
       4 q. O& f3 I% u5 @; [) {
     
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2025-2-17 22:52 , Processed in 0.054756 second(s), 33 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表