找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 767|回复: 1
打印 上一主题 下一主题

大家帮我看看这个VHDL100进制计数器设计的有没有问题

[复制链接]

1

主题

1

帖子

23

积分

二级会员(20)

Rank: 2Rank: 2

积分
23
跳转到指定楼层
1#
发表于 2013-11-15 20:09 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
仿真的时候就是不对。。。+ V6 V" v$ T3 U
7 s0 T% v0 U: n! y1 }8 Q

! t- j+ T2 ]+ L3 F. ?" B& R! Blibrary ieee;
) o/ ]6 R1 P% ]7 I+ l( Wuse ieee.std_logic_1164.all;
4 A: D: t3 |* K% ]5 x- d; ouse ieee.std_logic_arith.all;& w+ H7 ^' c; H9 @# b. H( Q& S
use ieee.std_logic_unsigned.all;
0 }& I+ m3 @; D. p* C# N* mentity cnt100 is6 [; D, n& T; P; g1 {# B) a+ ?$ @
   port(clk:in std_logic;
+ n; n# n# ~2 d! \" g; O+ l              qut std_logic_vector(7 downto 0);
$ M; {; ]+ o  T                        cut std_logic);
2 a! N- L6 y0 `; N- nend cnt100;
* E: B- C' r1 }- ~! oarchitecture one of cnt100 is8 A5 q$ _& g$ t; q+ g- T
signal qa:std_logic_vector(3 downto 0);; y% u' z) o) }, d4 C
signal qb:std_logic_vector(3 downto 0);
1 ]+ i3 f2 U" q4 bsignal cin:std_logic;" s; D3 p; K' d& X( S0 S# l5 d
begin
6 w1 ]: v& K! ~& I; P; V   q(3 downto 0)<=qa;. g; m$ ]7 e) H' j: s4 F" v/ q
        q(7 downto 4)<=qb;
- S; Z% x7 L! `8 D. P+ H( }9 W8 K   process(clk)) P$ _9 g) d- m- P4 W5 z
        begin
) W7 i! `- m/ K2 J. N5 B           if clk'event and clk='1' then " ?+ U- X  S$ Z7 G5 n
                   if qa=9 then qa<="0000";cin<='1';/ s6 a) ]! m8 }# ]
                        else qa<=qa+1;cin<='0';- }3 @* Q" E- e" _
                        end if;
* t! T9 s+ u7 k) G  E" j4 S* G                end if;
4 a+ S6 F; v' i! ^        end process;
7 B, k( S" |( R2 F# z3 X2 q        process(clk,cin): I' f0 L+ ~1 H5 D
        begin
' [! [% o" j' L8 q4 o$ E9 X             if clk'event and clk='1' then/ p) ~) o; T: K3 ^4 t
                     if (qb=9 and qa=9) then qb<="0000";c<='1';3 L, W" Q# T0 V% a- h1 k" v
                          else c<='0';3 J) j/ A/ _1 Y6 D$ P
                          end if;& Q# G; T# L5 N+ m
                          if cin='1' then qb<=qb+1;
3 T% q" U2 e- ?* T) [4 |" |                          end if;% }4 G$ ]2 H4 }  p( I: w* Q
                        end if;/ P. c8 w9 m$ U
        end process;+ u) g) K( J. A
end one;
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

0

主题

11

帖子

92

积分

二级会员(20)

Rank: 2Rank: 2

积分
92
2#
发表于 2013-12-19 10:48 | 只看该作者
出在第二个process里面。。qb=9 and qa=9时已经进位了且qb<="0000"。。cin没有清零
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2025-2-19 07:10 , Processed in 0.053208 second(s), 33 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表