|
EDA365欢迎您!
您需要 登录 才可以下载或查看,没有帐号?注册
x
我最近在看中国电力出版社出版的FPGA嵌入式系统设计与开发指南这本书,下面的代码是本书的第第一章的第三段代码,我使用QII 11.0WEB版本无论如何无法正确编译,总是提示10559错误,请各位高手帮我看下问题所在,谢谢!
" u0 M, ~' _ X
5 C1 _/ c' y2 H( T* L. |6 a* W% t6 \4 r" ]
library ieee;# t' q0 ]0 e4 u6 i/ E; t3 X
use ieee.std_logic_1164.all;" _- e7 `5 L5 p' w9 c3 Y
use ieee.std_logic_unsigned.all;
& K+ a; E8 T9 c% ]entity counter8bit is
5 l7 I1 n( W. S3 m' \6 _$ H port(int ,reset,enable: in std_logic;count_out: out std_logic_vector(7 to 0));
4 J' L% t$ F$ k# c* W7 [4 }; t end counter8bit;) I) }2 W; [$ v2 {% i" R J7 x
architecture counter of counter8bit is
1 p; z' n& ~) p/ T. e signal count_in: std_logic_vector( 0 to 7);" s2 O+ i% P1 v' |2 ^
begin+ c0 ^ d) U* Y4 V8 u* G7 a
process(input,reset)+ p. `' o0 A$ V# Q2 c
begin
& V8 P( g$ _9 }8 a& m9 O m4 | wait until rising_edge(input);! O' k6 J& g L5 Z, M( K2 q' A
if reset='1' then! Z3 W; m( |5 u- E
count_in<=(others =>'0');( n' |& M% H& R& D2 S4 A) [
elsif enable= '1' then - ?" L2 [- g$ v6 `6 h; _: ^1 j
if (count_in="11111110") then
6 ~$ t. t1 p3 t count_in<="00000000";
2 i i* o& ?' \& M& C else
( M9 D1 G5 y8 B: C A5 A* A! `' p count_in<=count_in+1;7 n* d2 [) B; u8 \* a6 c6 C
end if;
# t( K4 }0 F8 x' y: A/ ^ end if;3 Z- I/ S( o- |3 p: D
end process;* D7 Z' c4 @: b7 B$ C! I; f
end counter;0 a Y. m5 Z2 C3 @# V; J
" s$ T1 T% d, y2 \7 B
, a8 l7 ~, ^/ ^9 y4 C' f _9 k" }0 [- L, y: I' n, e+ D& C
lInfo: *******************************************************************7 T' o0 f* J: X
Info: Running Quartus II Create Symbol File3 A l. ?3 ~2 Y0 t( S2 A# h
Info: Version 11.0 Build 157 04/27/2011 SJ Web Edition
8 x% Z; p5 P/ S7 X3 o- e) h Info: Processing started: Wed Jul 24 14:43:41 2013
/ U, h! m- O2 T0 T( Y4 y4 s Info: Version 11.0 Build 157 04/27/2011 SJ Web Edition
. g S0 ~) n( s Info: Processing started: Wed Jul 24 14:43:41 2013
3 X9 ]0 Q& ?1 h' f2 `% YInfo: Command: quartus_map --read_settings_files=on --write_settings_files=off penlvji -c penlvji --generate_
) w+ `3 `, u" q1 I' o. |Error (10482): VHDL error at counter8bit.vhd(12): object "input" is used but not declared
1 D/ t, A7 ^! b* J4 B% q; D* b" y# OError (10559): VHDL Subprogram Call error at counter8bit.vhd(12): actual for formal parameter "s" must be a "signal"( p5 \- e9 m V0 \
Error (10482): VHDL error at counter8bit.vhd(10): object "input" is used but not declared
( h0 @+ s1 D; H7 cError: Quartus II Create Symbol File was unsuccessful. 3 errors, 0 warnings
% s. q1 K# L4 { |
|