找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 868|回复: 1
打印 上一主题 下一主题

六十进制计数器错误

[复制链接]

2

主题

18

帖子

-8983

积分

未知游客(0)

积分
-8983
跳转到指定楼层
1#
发表于 2011-10-12 15:43 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
六十进制计数器
* Q  S- J5 c7 Z6 _( Q0 zlibrary ieee;; L4 h9 S/ ^' z( d% ]
use ieee.std_logic_1164.all;
- \4 D& d% K4 k( Ause ieee.std_logic_unsigned.all;
) }: d( C- S& T5 \$ k" {# d7 c, m) J
2 f4 ?6 z7 c" B$ eentity counter_60 is/ [0 Z7 W6 t1 w  {, H- h" B8 p
        port(clk,bcd1wr,bcd10wr,cin:in std_logic;
! }( c5 j. G! C1 R& I. O- K/ A                 co: out std_logic;9 |' V# V$ o% S  B7 c
                 datain: in std_logic_vector(3 downto 0);/ `) E+ a7 w" [. b6 }$ r
                 bcd1: out std_logic_vector(3 downto 0);& _/ w" I, p6 H
                 bcd10: out std_logic_vector(2 downto 0));; U( a. E. o' }7 X2 b8 `+ w
end counter_60;; M) g5 F, i& s  w6 X
% d1 I. S/ n- S6 [( `2 R& I- O6 n
architecture rtl of counter_60 is2 c$ r& v. v3 X% G+ n" Z$ }  h4 ~
signal bcd1n:std_logic_vector(3 downto 0);8 F& T# Q7 ~8 c+ w
signal bcd10n:std_logic_vector(2 downto 0);
1 M3 ~2 W9 d5 S  z* k+ kbegin
$ @$ n& L6 o$ l$ P" A% \        bcd1<=bcd1n;5 |+ R; f: h  h- j$ t# P
        bcd10<=bcd10n;! V! H2 u1 T; O$ ^% r% O2 N
        process(clk,bcd1wr,datain) is
. P/ ~9 x( O% M2 P+ J1 C* W        begin
, h0 {' D* M9 R, O: U                if(bcd1wr='1') then
/ M: A$ r" g2 b  o: r/ f7 }$ w                        bcd1n<=datain;
% h/ r, g7 D2 E* r, n8 f                elsif(clk'event and clk='1') then
3 z' f/ i- l- f. Q! @6 q" o                        if(cin='1') then
8 }" F7 ~0 s: t                                if(bcd1n=9) then0 f8 v5 K5 n3 q' L9 y0 V) {
                                        bcd1n<="0000";
3 t' H: M9 u0 O" u# y1 F  V+ r) e% O# B                                else
/ R2 q/ Z+ u, |6 M' o                                        bcd1n<=bcd1n+'1';; T0 `( d% R" b* `
                                end if;7 \% a8 n/ ]2 q( H, e8 h; b+ \: {+ G' F
                        end if;
. c! [7 k, ~- ~" y& U4 t                end if;$ Q: l' K% L8 I, Q% {+ n
        end process;5 `5 Y3 r' g" Z' Z, B& c
       5 r3 E3 u. r  K' j; b! l2 H) o
        process(clk,bcd10wr,datain) is
4 W3 a1 D& _! G, U2 D. w0 d7 K* @        begin
7 v, H0 v7 c0 S  v                if(bcd10wr='1') then& Z6 ?0 O; |$ E. z: s
                        bcd10n<=datain(2 downto 0);
0 S, R' ~# s  Z                elsif(clk'event and clk='1') then
9 A& Q0 Q( f/ m% V9 L                        if(cin='1' and bcd1n=9) then
6 [) y8 c/ _3 ~$ ?  V6 K                                if(bcd10n=5) then, T% D" ?) m8 [: G; _- H' b
                                        bcd10n<="000";: R# y( b' X0 l$ x
                                else9 O" V2 {( K( A& u' k
                                        bcd10n<=bcd10n+'1';* v1 R6 B9 P" X* \
                                end if;4 i0 K% N; ]2 y5 W
                        end if;
/ l( s5 ~0 N: ?0 o9 r                end if;
7 w3 R- q- r* F& ?. f        end process;
& t6 _1 {1 A7 c6 s/ b5 U      
' u9 B4 G, [  n' f! `        process(bcd10n,bcd1n,cin) is! L4 o0 w; C& p0 {. G0 S1 ^
        begin
0 T$ ]' q! w7 a                if((cin='1') and (bcd1n=9) and (bcd10n=5)) then/ o0 q( v/ c4 M( E/ }, o% R3 Z. k
                        co<='1';; r" [2 V4 K. F7 o* o2 S; E7 [
                else1 B$ k2 ~! u4 W. z6 U" k
                        co<='0';& D! B( F& m$ J+ Q+ a% G% Y& b' u
                end if;0 U, J8 X3 A# ?3 ~$ a- |
        end process;
* U0 A( Q) S; C( i; Y9 xend rtl;& o- I& o) @2 Y& `% Q4 ~
可以编译,但是仿真不合适,仿真不计数,请各位老师同仁指正,万分感谢
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

6

主题

30

帖子

144

积分

二级会员(20)

Rank: 2Rank: 2

积分
144
2#
发表于 2012-2-24 17:12 | 只看该作者
     把赋值放到前面去,怎么会变, bcd1<=bcd1n;
; N6 `9 w- I) f        bcd10<=bcd10n;放在最后
% c" N: A% ]9 P* K3 {( K/ W
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2025-2-19 06:32 , Processed in 0.055980 second(s), 33 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表