找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 862|回复: 2
打印 上一主题 下一主题

我想检查一下开发板io口的输入输出功能是否正常,应该如何做?

[复制链接]

604

主题

2859

帖子

1万

积分

EDA365版主(50)

Rank: 5

积分
13638
跳转到指定楼层
1#
发表于 2009-3-2 16:18 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
我想检查一下开发板io口的输入输出功能是否正常,应该如何做?
8 Y/ n; N! b4 M为何按照下面写的不太对?- o8 d+ H$ _9 J# P" n. |
8 r8 M! a7 h3 [8 X
我的思路是:, M! P2 P7 V/ ]/ o8 l

6 ]$ H0 f/ m# E3 _设置一个计数器,20位
- y, |5 P& ~9 \# u( O1 l将每一位输出到对应的io口
9 Z3 l$ D9 O: G  Jio口在作为输入
; i: A( ]; T5 V( q' e0 q" p" A通过一个触发器保持这个值
4 g0 e  V* u/ q4 ?
# i& n: `* E5 {8 e) f想通过嵌入式逻辑分析器看看输出输入的波形* i4 s1 Q1 R" L4 N( w7 {

9 W7 w, ^4 Q1 f, \" F$ o但综合后
3 r8 I' l9 f: s& M$ N/ T# N作为输入的触发器没有没在逻辑分析器里面显示出来
$ g; t4 ]$ V; M$ t
+ r; U; V$ A% j, A应该如何写才正确?
  M7 k2 \$ F- ~' A3 l
  {, h! R. H/ w% a1 ~8 A# K" Y4 e`timescale 1ns / 1ps; J' r/ y# }5 E
module EP2S60F1020C5ES(
7 C+ y, q( X2 r) O        i_Clock,        
8 h- {( v9 o! z        i_Reset_n,# K; F% ?# ]' f; h+ ?
        io_CON1_B,
; j4 s' ]3 m+ f" I        io_CON1_C,6 }8 ~( [; Q- S' j& u
        io_CON1_D,  K. E# V: b0 `
        io_CON1_E,, @4 L9 z) Y  ?
        io_CON1_F,
6 x: }1 B* ]+ I4 V- j6 c8 {/ W        //& a! @6 P- q/ ?* Z
        io_CON2_B,+ i. ?! r8 U: A7 G- ~7 D0 z
        io_CON2_C,% C. x. `% d3 E: q- ?1 A' ?( K
        io_CON2_D,- N. M- f- T2 o8 \: n8 i
        io_CON2_E,
$ E+ @! d4 }+ a! Y% p& f6 m, o$ Q        io_CON2_F,
' J  o( X4 G  _! [        //# u! C2 y2 g4 m# v2 @
        i_CON1_D2,$ h  Y  \% l1 E
        i_CON1_D3,
% P2 m0 F7 ?# a3 k( K4 _        i_CON1_F18,3 V1 @8 c8 a% n# O5 W% U/ m
        i_CON1_F19,8 ~: ?. O& E* d" [( J
        i_CON2_B10,. A! m% g! x" M: c9 ~8 C0 p
        i_CON2_B11,0 @' L- j/ s7 Q' O
        data( _, S. \: z* J, P4 A, _4 t
        );
5 G4 s0 {* x  @0 \5 U9 A! G, i. I        //: L$ a" |# j, q( K5 [1 z; Z. B
        input         i_Clock;
, [' Z* j* Q  @" m        input         i_Reset_n;
/ \3 a8 E1 ]4 U: c- k5 P        inout [19:0] io_CON1_B; ! w6 \* p& q% r' q/ f
        inout [19:0] io_CON1_C;
" D" Q# b1 x( ?( N# P7 D' z        inout [19:0] io_CON1_D;
& Y  B8 z+ N. {* r# \9 t0 f8 R        inout [19:0] io_CON1_E;6 G4 n$ E5 [" r. x# P3 z3 l7 f
        inout [19:0] io_CON1_F;
& h! X: v- q# \- u. L* l. x) }% M        inout [19:0] io_CON2_B;
. _' F8 L6 |0 C4 z1 e; ]        inout [19:0] io_CON2_C;( i# K; W# X) w& ^3 U! |' d+ a
        inout [19:0] io_CON2_D;( J# \& Z( B+ W2 q% p0 x
        inout [19:0] io_CON2_E;
; M4 I, b& j8 J% {9 M        inout [19:0] io_CON2_F;& c- U1 N4 r% e$ a4 {- `2 j: y4 |% @$ J
        //
. E5 E* f5 I; T6 O- e+ r        input        i_CON1_D2;
7 a6 Y, e; }+ X( R0 J, b        input        i_CON1_D3;
: ]/ r7 f( E0 J+ ?; ^        input        i_CON1_F18;
- a8 n( W" S- b        input        i_CON1_F19;
, W7 n, t0 o( U        input        i_CON2_B10;
& v5 x- I1 B7 U- D4 |3 p        input        i_CON2_B11;
. s. L+ U$ s: Z! y* L        output data;
" m) N: A9 r1 O& l0 I/ T. F        reg CNT_CON1_D2;' D- u! C! m" m
        always @(posedge i_Clock or negedge i_Reset_n)1 M9 W. ~9 ^' V
                if(~i_Reset_n)
& L  g4 }( ?0 T0 s' j                        CNT_CON1_D2<=0;! _$ ]) u8 i0 `5 h* R( c* i  L
                else
. I0 ]# J; s4 b& T, p& _. D                        CNT_CON1_D2<=i_CON1_D2;
) l& [( {& n& Y4 b        , ^) g/ U4 e$ c2 g4 l" r
        //----------------------------------------------------------------
% L9 Z7 q0 V9 y% |1 m- Y        . y7 ~; N1 ~. [, i' U
        reg [9:0] CNT;  
) l8 |1 p( j( P9 W0 X) [        
) ?1 F, M8 r8 l/ J        always @(posedge i_Clock or negedge i_Reset_n)' J& Q# h6 L- Y, `
                if(~i_Reset_n)
9 B" c5 N0 h3 {' W0 }: h' l% j                        CNT<=0;  _+ g$ E+ ^5 Z: R% l2 p+ ?" M0 k
                else
$ w& x  z! f6 o) R4 v                        CNT<=CNT+1;
1 m% p% c, G, D9 ~; t0 C  o9 c        //
1 F: {  B4 X: U' ?        assign  io_CON1_B       [0]     =DAO_CON1_B0;   
$ j) Q, o' @) q/ K- v. s$ I        assign  io_CON1_B       [1]     =DAO_CON1_B1;   : L# Y+ G. ^  g
        assign  io_CON1_B       [2]     =DAO_CON1_B2;   
* B* d6 I- P7 N9 }9 c; z# p        assign  io_CON1_B       [3]     =DAO_CON1_B3;   ; |' I/ |0 s/ t$ ?3 J
        assign  io_CON1_B       [4]     =DAO_CON1_B4;   6 D  d$ G1 W( E% U: O  e, [
        assign  io_CON1_B       [5]     =DAO_CON1_B5;   
" W: b3 Z6 M  s- K' E  j' r+ k        assign  io_CON1_B       [6]     =DAO_CON1_B6;   ( C' e0 t  i! ^' L! }$ R
        assign  io_CON1_B       [7]     =DAO_CON1_B7;     X* U  B  i5 c  S- s0 E
        assign  io_CON1_B       [8]     =DAO_CON1_B8;   ) Q: |# d0 x% o5 n, x7 `
        assign  io_CON1_B       [9]     =DAO_CON1_B9;   ' U" D  ]6 Z8 ^7 U4 z) s* g
        assign  io_CON1_B       [10]    =DAO_CON1_B10;  # L" n$ p0 ^3 P( ?- M1 Y/ |) X
        assign  io_CON1_B       [11]    =DAO_CON1_B11;  0 w* P) F! P" a  ~
        assign  io_CON1_B       [12]    =DAO_CON1_B12;  & ?; H7 [1 \1 b& [
        assign  io_CON1_B       [13]    =DAO_CON1_B13;  : ?8 L* d2 n/ l* Q7 `( l
        assign  io_CON1_B       [14]    =DAO_CON1_B14;  5 a9 s7 K4 _: \7 C* k' B, B2 ~6 L
        assign  io_CON1_B       [15]    =DAO_CON1_B15;  ' ~7 f% {* m# Y7 C' @0 r
        assign  io_CON1_B       [16]    =DAO_CON1_B16;  $ w. Z: k/ R& E+ O0 A' v! J. ^& _) H$ r
        assign  io_CON1_B       [17]    =DAO_CON1_B17;  
- X6 j" e/ P) Q) `$ B        assign  io_CON1_B       [18]    =DAO_CON1_B18;  
  d% t/ M6 k0 O2 [5 d8 S0 u8 g0 n        assign  io_CON1_B       [19]    =DAO_CON1_B19;  
' V  n  A6 L# F+ z$ I        assign  CON1_B0         =io_CON1_B[0];  
. |' \' D( a% D) Q1 J$ _        assign  CON1_B1         =io_CON1_B[1];  , N2 p. x! r2 i; G- c
        assign  CON1_B2         =io_CON1_B[2];  
$ P; N  F8 h$ E! Y" s        assign  CON1_B3         =io_CON1_B[3];  
' p" o/ i) H" u* H        assign  CON1_B4         =io_CON1_B[4];  7 w. l4 B! N  U/ ^+ D7 H
        assign  CON1_B5         =io_CON1_B[5];  
4 m* p/ t( ]& U' S1 S) J        assign  CON1_B6         =io_CON1_B[6];    A: ?6 p& n, z8 u
        assign  CON1_B7         =io_CON1_B[7];  + W+ y# @' T& F7 _( ?( ?- ]
        assign  CON1_B8         =io_CON1_B[8];  ; I# p: E. \/ j" _# n* i- L
        assign  CON1_B9         =io_CON1_B[9];  
1 u; I/ }& ]; N        assign  CON1_B10                =io_CON1_B[10]; 3 q; r3 G% }( Q4 v
        assign  CON1_B11                =io_CON1_B[11]; , A7 [  F/ \1 n" }3 p9 |
        assign  CON1_B12                =io_CON1_B[12]; , K) t' A  r+ c) v. M
        assign  CON1_B13                =io_CON1_B[13];
6 q. L$ |5 f6 R" A: m7 O        assign  CON1_B14                =io_CON1_B[14]; ( A8 r( q* x9 S4 V* f& Y
        assign  CON1_B15                =io_CON1_B[15];
, d% E( N% C) m        assign  CON1_B16                =io_CON1_B[16];
8 E' a4 X( ?. d        assign  CON1_B17                =io_CON1_B[17]; + O; ]0 r' T6 w# C/ c
        assign  CON1_B18                =io_CON1_B[18]; ; W# D' v8 d9 R  x' t: X3 n
        assign  CON1_B19                =io_CON1_B[19];
" g( P6 }! |6 w- i# G& \9 ]9 U% K        reg                     DAO_CON1_B0;   
7 o/ Q* i' a: @3 D* @# _4 R( I        reg                     DAO_CON1_B1;    - d6 y7 s! C9 d& w
        reg                     DAO_CON1_B2;    & P. t+ q" b& |! v
        reg                     DAO_CON1_B3;   
1 u' \5 t2 m6 s4 u  U! B; A" @        reg                     DAO_CON1_B4;    ) c; H+ ^4 x0 c2 u" `0 n- m
        reg                     DAO_CON1_B5;   
6 d# l6 W7 P5 p. t; S6 K        reg                     DAO_CON1_B6;   
4 Q. I4 X( O* h) L0 C        reg                     DAO_CON1_B7;   
. M. a/ O# D, g# A% [1 S        reg                     DAO_CON1_B8;    # T; `: c1 o" z
        reg                     DAO_CON1_B9;    : C" Z" D" W: S' s! {8 n; q
        reg                     DAO_CON1_B10;   ! b2 f# t( @; _+ u6 `; v
        reg                     DAO_CON1_B11;   : n( X9 u1 c+ }  F& f
        reg                     DAO_CON1_B12;   
; a/ A6 [5 U, V, K8 x        reg                     DAO_CON1_B13;   
5 q: w4 L5 r6 @  m$ p- }9 @# d        reg                     DAO_CON1_B14;   
6 [7 A+ U1 w, G        reg                     DAO_CON1_B15;   & L5 p' x8 m  X
        reg                     DAO_CON1_B16;   - s) r& S4 q+ Y5 ^  Z) b0 n
        reg                     DAO_CON1_B17;   
/ t) N0 v* z. S6 [2 Y' I! }$ x        reg                     DAO_CON1_B18;   
5 N8 H. j$ E4 v5 T3 A  {1 K- r! }3 V        reg                     DAO_CON1_B19;   
7 e) d; D  {( j5 w% r        reg                     DAI_CON1_B0;    : d8 \6 S; j  s3 X2 M9 a
        reg                     DAI_CON1_B1;    7 l' Q" ^; w2 |
        reg                     DAI_CON1_B2;    ! S9 Y6 y7 h4 m9 v$ X. w# k
        reg                     DAI_CON1_B3;    9 E- E+ E. p) L0 N" @; W; E
        reg                     DAI_CON1_B4;      f% Y. B  h1 [, |$ o. \4 m$ B" H
        reg                     DAI_CON1_B5;   
: n) d5 ?5 i& ?# o        reg                     DAI_CON1_B6;   
& ?& P5 Q0 [6 H% H- L" p        reg                     DAI_CON1_B7;   
2 N, [# m* o+ _        reg                     DAI_CON1_B8;   
5 c3 G: m* V1 ]3 F        reg                     DAI_CON1_B9;    ) d1 y! a( J( ?2 m9 A: N; p0 n3 r% r
        reg                     DAI_CON1_B10;   / Q' K% V/ ~, Y# ^6 u* G% r+ x
        reg                     DAI_CON1_B11;   5 j. \5 x! J& j! e
        reg                     DAI_CON1_B12;   
# ~3 t  _+ `/ @        reg                     DAI_CON1_B13;   
: a- \0 I! ?- N. |2 h        reg                     DAI_CON1_B14;   5 V' S% u% l$ P: _$ g0 I: H
        reg                     DAI_CON1_B15;   
+ K+ m! v7 K0 C% U$ g7 }        reg                     DAI_CON1_B16;   1 n+ ^& J2 ?8 W$ ~. H
        reg                     DAI_CON1_B17;   2 d/ o- Z, \# K& O9 ?
        reg                     DAI_CON1_B18;   
5 ?* B2 \9 D4 g        reg                     DAI_CON1_B19;   
% ?, m5 h8 {& D( t+ G- N        ( y' J* j2 n+ x( K1 L( F
        //----------------------------------------------------------------7 g. V- e2 V0 E; [3 B# k3 |
        always @(posedge i_Clock or negedge i_Reset_n)                          
% X# Q/ b2 |) _: l/ p                if(~i_Reset_n)begin                             
5 f9 w) H9 I( @                                DAO_CON1_B0     <=0;; s( R- G5 ^' X) _. x
                                DAO_CON1_B1     <=0;
2 y$ D; L- i) E: g6 B8 J                                DAO_CON1_B2     <=0;- h. K  B, T/ d
                                DAO_CON1_B3     <=0;" @( \" N. V2 z& q
                                DAO_CON1_B4     <=0;) d+ b& E, P# U& ?# D  \6 \
                                DAO_CON1_B5     <=0;
6 j9 ~! S" ~% [: F, `2 [$ |: J                                DAO_CON1_B6     <=0;
* b( [) \1 C$ V& ^! |                                DAO_CON1_B7     <=0;5 }" |$ r/ }8 U
                                DAO_CON1_B8     <=0;
2 \2 U7 ~0 l/ r                                DAO_CON1_B9     <=0;! c& ?' Z# S/ V
                                DAO_CON1_B10    <=0;
+ ?( I. D, J; K1 W                                DAO_CON1_B11    <=0;
. {( g  d$ N8 e; k- e& j; A7 E7 Y# I4 W+ y                                DAO_CON1_B12    <=0;
5 V$ z3 g* L2 `8 d6 `5 {                                DAO_CON1_B13    <=0;  H  O9 {$ W6 X& I0 ~" g
                                DAO_CON1_B14    <=0;$ K. e8 A! Y1 A9 D8 K5 N% v
                                DAO_CON1_B15    <=0;1 y/ H3 D1 Z8 _. ^# L
                                DAO_CON1_B16    <=0;
/ W; |1 X% m, ~; W+ n                                DAO_CON1_B17    <=0;3 I6 P# w, J: g5 x$ u9 n8 ]4 O
                                DAO_CON1_B18    <=0;  e9 E5 F; k8 F, x+ a1 J
                                DAO_CON1_B19    <=0;
. _, D+ s, ]% q                        end     ' I4 o: \$ o3 |
                else    begin     X/ X: X3 T# h. T. s2 J
                                DAO_CON1_B0     <=CNT[0];/ @# i6 {2 e7 L, C9 H% A9 X
                                DAO_CON1_B1     <=CNT[1];, Y. M6 [* P+ U3 a* }: b9 v
                                DAO_CON1_B2     <=CNT[2];
4 \: A1 g$ H6 W" w2 A* G, p% g                                DAO_CON1_B3     <=CNT[3];
4 @* n1 z. O: h" o                                DAO_CON1_B4     <=CNT[4];
, m1 _; u( K9 \+ V2 H                                DAO_CON1_B5     <=CNT[5];1 `" l, `  M0 C5 h5 A6 \3 D
                                DAO_CON1_B6     <=CNT[6];
. s+ J% f7 M( @' [! [                                DAO_CON1_B7     <=CNT[7];) E7 @' v% F: K" Q% K
                                DAO_CON1_B8     <=CNT[8];) V% i" y" A* h
                                DAO_CON1_B9     <=CNT[9];% a9 l. {; I# R
                                DAO_CON1_B10    <=CNT[0];
  b* @3 d6 N. N; ~5 z3 y# @                                DAO_CON1_B11    <=CNT[1];
# f+ M2 e4 W' @! m9 s8 N                                DAO_CON1_B12    <=CNT[2];
/ z4 {+ q" b  p% y- F                                DAO_CON1_B13    <=CNT[3];5 R( u! r0 p3 s1 |; ?  G% V
                                DAO_CON1_B14    <=CNT[4];
+ |2 U- J$ V5 J9 v2 v7 X6 g                                DAO_CON1_B15    <=CNT[5];" n) A2 \3 @9 F8 N" J, J9 I* W7 K8 I" I8 g
                                DAO_CON1_B16    <=CNT[6];4 ]5 d8 `. h& w9 D
                                DAO_CON1_B17    <=CNT[7];/ Y" u2 s0 e- E/ {
                                DAO_CON1_B18    <=CNT[8];
) z8 k( l5 ?% d: t( }1 i0 j                                DAO_CON1_B19    <=CNT[9];- z) d3 n: J4 R1 q  L/ P# l
                        end     5 L/ T+ J& i: `3 Q' `8 `/ e3 \$ d, X
        always @(posedge i_Clock or negedge i_Reset_n)                          * E( p' ?) s7 e9 U
                if(~i_Reset_n)begin                             $ o3 K$ S5 }. P0 R
                                DAI_CON1_B0     <=0;
- t# G  E# ?' h, v: X% ]                                DAI_CON1_B1     <=0;
% y/ p& i# t! H( m                                DAI_CON1_B2     <=0;) q6 K' I6 x4 b. B9 E8 N8 n
                                DAI_CON1_B3     <=0;( v* `9 _) G) a$ s5 E/ r# ~3 e
                                DAI_CON1_B4     <=0;& o8 G0 B) i) f. O
                                DAI_CON1_B5     <=0;
. t- E3 _& @6 l& ?! P. C5 A                                DAI_CON1_B6     <=0;' }. g2 l: T) j. t
                                DAI_CON1_B7     <=0;2 |8 L2 w6 x$ D$ m' y+ o
                                DAI_CON1_B8     <=0;
: D4 [8 l& @; K$ W: Q" ]                                DAI_CON1_B9     <=0;& g) ^& v/ T2 }# k8 E$ P% j
                                DAI_CON1_B10    <=0;  a6 [0 x' n) n: j0 }9 |) S
                                DAI_CON1_B11    <=0;9 X6 _$ _, ?4 Z; c) `5 b! s( l( l  Q
                                DAI_CON1_B12    <=0;
# p6 y. {2 x5 y* H2 F                                DAI_CON1_B13    <=0;4 v, |# v# z; a0 B/ a: u
                                DAI_CON1_B14    <=0;1 b& H7 p$ ?( K2 H4 M3 f
                                DAI_CON1_B15    <=0;
# r! M% V* K. d: i- d1 w$ k                                DAI_CON1_B16    <=0;
! c- S: [* z5 w9 |# b% b: x                                DAI_CON1_B17    <=0;6 K6 A- m( c0 c& ?2 A
                                DAI_CON1_B18    <=0;" Y& W3 W$ M# h- F% D
                                DAI_CON1_B19    <=0;
5 R& O2 V0 @% \7 ~7 X/ z" R/ z5 \                        end     
8 D% I' K/ G1 M' f) X                else    begin   & F% _) y, x1 a( T
                                DAI_CON1_B0     <=CON1_B0;* O% w3 u4 ?% F% b
                                DAI_CON1_B1     <=CON1_B1;
- S% Z* X1 \3 Y; t                                DAI_CON1_B2     <=CON1_B2;& X$ ^) m- E; ?0 D1 M( N
                                DAI_CON1_B3     <=CON1_B3;5 s2 `; j, {0 J
                                DAI_CON1_B4     <=CON1_B4;
6 z1 _& I$ J8 R, y( J                                DAI_CON1_B5     <=CON1_B5;1 ~/ Q: r. X' W( I1 V
                                DAI_CON1_B6     <=CON1_B6;9 g' |' s2 N* M3 F) k0 S
                                DAI_CON1_B7     <=CON1_B7;) t( M: d+ t/ v
                                DAI_CON1_B8     <=CON1_B8;2 J* a9 Y4 u5 N4 l7 m" [
                                DAI_CON1_B9     <=CON1_B9;
- A$ k7 }. i. A8 P                                DAI_CON1_B10    <=CON1_B10;# Y; I# E% |# g
                                DAI_CON1_B11    <=CON1_B11;# h+ A& u& |6 B, k2 }+ C
                                DAI_CON1_B12    <=CON1_B12;! }) r( E. O7 ?1 l9 [. |* _
                                DAI_CON1_B13    <=CON1_B13;
5 s% `0 K# s* m' b9 s, O1 h0 y                                DAI_CON1_B14    <=CON1_B14;+ O0 j5 a8 H- e7 |5 ^
                                DAI_CON1_B15    <=CON1_B15;
+ {3 }, j# ?: A- C                                DAI_CON1_B16    <=CON1_B16;
. w2 c  \/ x4 K& J* H                                DAI_CON1_B17    <=CON1_B17;+ f7 R' Y- L4 E* J! }7 T  [7 D$ C) W
                                DAI_CON1_B18    <=CON1_B18;+ u( Y6 X5 X: U
                                DAI_CON1_B19    <=CON1_B19;/ J" e- k0 r% [, S
                        end     
2 ^( o: A% e! G1 _$ E5 d                        ........................: f7 w; _% Z- f
endmodule
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

604

主题

2859

帖子

1万

积分

EDA365版主(50)

Rank: 5

积分
13638
2#
 楼主| 发表于 2009-3-2 16:24 | 只看该作者
我想吧输出的值直接通过d触发器再反馈回来
. q5 D& a' X+ R2 ^4 j想通过嵌入式逻辑分析器直接看看d触发器的输出波形- h* \# O& V: y- k( K+ d
4 u) Y9 y4 q6 }# ]7 y3 Q& b
这样就只能这个io是可输出也可输入了
' a. e! o1 _5 ^+ V' E8 Z: O, g7 Z
) L; m1 _( F2 ^因为管脚非常多 需要检查验证一下* e, s7 L+ H7 x

' v- g- ~! o7 [这些座都是pin插座: \1 G3 O! J6 f
# r: L3 c; X3 A/ \
如果单独检查输出还好点, b+ C8 ~7 \' }/ P$ s( \8 \& R
直接输出分频波形就可以了
' u4 |% h, Q0 N  o2 j; R2 F* T) S8 ?: O作为输入检查就费劲了不少$ S7 @7 m$ A& {7 g
5 {% ~) b* K0 G4 C& l* t6 z& m
不知道有啥好的方法
* a- W5 H% \: x6 z/ p
+ t8 X' w1 \" y2 S1 y我上面写的代码应该如何修改一下就能实现我的目的呢?

33

主题

267

帖子

656

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
656
3#
发表于 2009-3-4 10:38 | 只看该作者
至于这么麻烦吗? 把时钟直接通到io上看看输出是否正常。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-9-21 15:35 , Processed in 0.058151 second(s), 33 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表