找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 1240|回复: 4
打印 上一主题 下一主题

请帮我看下下面的代码错在什么地方,谢谢!

[复制链接]

3

主题

41

帖子

407

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
407
跳转到指定楼层
1#
发表于 2013-7-24 15:01 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
我最近在看中国电力出版社出版的FPGA嵌入式系统设计与开发指南这本书,下面的代码是本书的第第一章的第三段代码,我使用QII 11.0WEB版本无论如何无法正确编译,总是提示10559错误,请各位高手帮我看下问题所在,谢谢!
0 B1 z6 m2 P# Z- A+ |5 G2 u
6 ?( p( `+ Z5 @6 R% C1 B* Z4 K# n8 ], _' Z7 ~" E" z9 H% G) M+ v
library ieee;
* s: E0 l# a! A) N) A8 I* Xuse ieee.std_logic_1164.all;
$ J3 V3 D- Y0 i: t2 h% juse ieee.std_logic_unsigned.all;7 c% O7 s) U7 H
entity counter8bit is6 i/ i( Q2 _) C5 R& c+ K
  port(int ,reset,enable: in std_logic;count_out: out std_logic_vector(7 to 0));
) O3 O" L: t  `' t) \/ x$ P  end counter8bit;: A7 y; Z: @% l& r8 K; g
    architecture counter of counter8bit is5 A# G8 u$ C, o$ c. T! j6 t0 w) x
         signal count_in: std_logic_vector( 0 to 7);
$ b; K9 A  C' z( P  _1 ^' f) w- l9 Q         begin1 F. b0 q- Z6 v
          process(input,reset)
  J. D! o7 r: m; P4 W! O- y: t7 X0 G             begin
" I. I1 t" x! l* P7 d                    wait until rising_edge(input);6 n5 Q7 R8 Q2 f
                          if reset='1' then
5 y% t  s6 E' ~( H. O! C                           count_in<=(others =>'0');
, p8 m( b8 q1 L( P8 ]                                elsif enable= '1'  then ; r* o' s: R' d' x1 `
                                  if (count_in="11111110")  then % E& Y0 @( D( M1 m. i
                                    count_in<="00000000";
  S3 y4 q1 U) `6 p2 j                                  else
# P& k! A; i  u5 L. S                                         count_in<=count_in+1;3 x/ O; k$ k; w9 _
                                  end if;! E# k8 B% d& {$ r! y/ J* O
                         end if;, c$ V  C$ A; i( w
                         end process;1 X0 C0 k4 Y! q0 j: s9 y
                         end counter;
& }# ^" g" c* M/ [+ Y$ b5 ~! Y                                         # H5 o" _1 q) k, J* D  r) c2 f

9 k6 F& O1 R! ?* G# G5 G
  ]3 y+ b" R$ s& F. ^5 JlInfo: *******************************************************************  w5 }) U" i4 ^" ^4 C3 C1 ~$ C
Info: Running Quartus II Create Symbol File
+ G3 ?  K2 f) e2 e; a2 @        Info: Version 11.0 Build 157 04/27/2011 SJ Web Edition1 f$ j+ X9 c1 ?3 @4 Y7 k' _- t
        Info: Processing started: Wed Jul 24 14:43:41 2013( ?* b/ v+ q, p! ^/ g
        Info: Version 11.0 Build 157 04/27/2011 SJ Web Edition
* Q3 ^9 |( a" m- m        Info: Processing started: Wed Jul 24 14:43:41 2013- |" C# j7 `* Z" T2 ?
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off penlvji -c penlvji --generate_  n3 b# J3 L9 V$ k" o+ c* u% k9 S6 `
Error (10482): VHDL error at counter8bit.vhd(12): object "input" is used but not declared6 H; ~6 a: w* c" A
Error (10559): VHDL Subprogram Call error at counter8bit.vhd(12): actual for formal parameter "s" must be a "signal"
7 [1 p. o1 g2 n: v% X$ v! ZError (10482): VHDL error at counter8bit.vhd(10): object "input" is used but not declared( q/ P6 l- t; q% A& W0 t
Error: Quartus II Create Symbol File was unsuccessful. 3 errors, 0 warnings
, s* H6 e3 [( P' `2 b       
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

0

主题

28

帖子

300

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
300
2#
发表于 2013-7-24 15:12 | 只看该作者
这个错误信息给的还不够明显?

3

主题

41

帖子

407

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
407
3#
 楼主| 发表于 2013-7-24 16:56 | 只看该作者
但是我看了line12,没找到错误啊
% |% Z7 G1 C2 w

0

主题

28

帖子

300

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
300
4#
发表于 2013-7-24 17:08 | 只看该作者
你的输入接口只有int ,reset,enable。"input"信号在哪里呢?

49

主题

670

帖子

4310

积分

五级会员(50)

Rank: 5

积分
4310
5#
发表于 2013-7-25 22:50 | 只看该作者
object "input" is used but not declared9 O( h0 y; ~- W  U1 W( J
你先把这句话翻译了再说。
硬件工程师[原理图+PCB],电驱动方面,无刷控制器,电动工具,太阳能无刷泵,锂电保护板,仅限Altium。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-11-24 04:48 , Processed in 0.056216 second(s), 33 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表