找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 712|回复: 1
打印 上一主题 下一主题

程序错误,求救

[复制链接]

2

主题

18

帖子

-8983

积分

未知游客(0)

积分
-8983
跳转到指定楼层
1#
发表于 2011-10-12 15:41 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
下面程序中有错误,新手,不知如何解决,求解答7 J0 S- U, O2 S3 N
library ieee;2 G- x% {% ~, h) G/ u( s
use ieee.std_logic_1164.all;
4 {% |) W; `% w4 i7 juse ieee.std_logic_arith.all;1 T, k6 r9 f7 a; n
use ieee.std_logic_unsigned.all;0 E3 Q( l1 z7 m/ M, [/ _
0 J) s3 V) P( N
entity mycounter_60 is
5 ]. e4 P6 V( S7 T7 R! |    port(clk,clr,en,bcd1wr,bcd10wr:in std_logic;6 R: b% }1 F! C+ D! a
         din:in std_logic_vector(3 downto 0);+ m. P0 T& b& G. x# K9 f. ]7 Y2 b) ?
         bcd1: out std_logic_vector(3 downto 0);  ~7 G0 G* A' {6 u; t
         co: out std_logic;/ c0 E9 F( P* E& [: }
         bcd10: out std_logic_vector(2 downto 0));0 q' I2 D% ~0 j. N7 V) ]
end mycounter_60;
9 \+ p) P( c" @  s4 P# r: Q3 r) j% c, I. C3 o  D# X2 S
architecture art2 of mycounter_60 is' M5 a% |8 g& m' E- x3 l& S; j# t4 M
signal bcd1n:std_logic_vector(3 downto 0);
7 ]! @; ^1 O* m. Q9 _signal bcd10n:std_logic_vector(2 downto 0);' Q" f1 _, ?6 F) }# g
begin
8 ^" R/ K( ]( }! W    PROCESS(clk,clr,en,bcd1wr) is
: J  [+ s0 J# C. O    begin
, y* i/ ^* n" n0 H+ N: j        if(clr='0') then
$ W: K% Q& h; E( O% m            bcd1n<=(others=>'0');
, i( D0 I! _3 j+ N9 h- p# c            bcd10n<=(others=>'0');4 \. T% q- d. G. J9 ~* m5 H1 Z( |
        elsif(clk'event and clk='1') then2 \- j5 n' A- _2 b5 m2 H' Y
            if(bcd1wr='1' and en='1') then- i: e# B7 S. c
                bcd1n<=din;
+ Q$ P: I6 g& N$ Q" m8 A; Q            elsif(en='1') then  o- ^: t. |( P5 _) A
                bcd1n<=bcd1n+1;. m. M! |- [/ N! a4 c# u
                if(bcd1n>=9) then) \; _8 c7 J2 F  C7 u% C
                    bcd1n<="0000";! j& f" l' m/ Y  i
                end if;2 f1 ^, l7 `. S, V$ D
            end if;
% H4 M0 P$ E( z% K        end if;. J& U3 P- o% |/ v4 F. Z8 D
    end process;2 p- D5 K& G6 ^: {5 i/ Q
   ( O, V4 W4 v, K0 m) q
    process(bcd10wr,en,bcd1n) is: U+ s9 f( V/ o% f
    begin
$ m7 a; O' b  a; y2 o2 j        if(bcd10wr='1' and en='1') then
! n: ?8 `# U5 |! Y            bcd10n<=din(2 downto 0);, W! F' |! h% s/ y9 m' Q
        elsif(bcd1n=9 and en='1') then
; o7 i  I  n' I2 y6 |            bcd10n<=bcd10n+1;
! ~) @, Y/ K0 k" C# d            if(bcd10n=5) then; ]$ n% ~: g- @
                bcd10n<="000";, n, b! T+ _) V* f* y/ S
                co<='1';
3 R( B8 i- v- D# a# v  r  C            else
; s- `& ~; T! G9 k6 o( S                co<='0';) b# d4 D% h1 o- h- E; s6 h' ~
            end if;  T% b$ _4 A6 a) B  n
        end if;  v: ?. y8 \. ]
    end process;/ I7 ^* j0 @  _; ?' n
   2 b* `: |7 ]  S1 j' p+ b  |
    bcd1<=bcd1n;
% r/ w2 K6 E1 p( f& o/ ^  _    bcd10<=bcd10n;
5 w6 j, f& j: n( |$ I% Oend art2;' n" T- U$ o- @/ |/ y9 G

5 {9 k; y' y0 l+ F1 e9 j* Y$ Z非常感谢
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

6

主题

30

帖子

144

积分

二级会员(20)

Rank: 2Rank: 2

积分
144
2#
发表于 2012-2-24 17:09 | 只看该作者
这些都是小错误,希望细心一点
/ S# {/ A! J# K( Y5 M8 V* olibrary ieee;
/ R. v: x9 U; s) N" Y# nuse ieee.std_logic_1164.all;
6 H: S/ W7 t  D" i- d: t, uuse ieee.std_logic_arith.all;) `% T  k6 C3 J  K
use ieee.std_logic_unsigned.all;
4 I# V" ~: O" ^7 D% B: Tentity  mycounter_60   is+ S; {7 I- N$ }" h/ h
port(  clk,clr,en,bcd1wr,bcd10wr :in std_logic;
7 L: V% o7 z5 _5 `  e. |        din:in std_logic_vector(3 downto 0);. g# p, ]* O1 F& U: R
        cout std_logic;
5 ^) n* V# u. c5 K5 A) c+ o       bcd1 ut std_logic_vector(3 downto 0);5 K- V% L, [- h5 \6 x" x+ k* h
        bcd10 ut std_logic_vector(2 downto 0)
( q% x7 T( Q$ J+ @" F1 n       );2 J. ^% O+ Y4 x- d9 H* @
end;
5 z) s2 H  z) m4 T( ]& f+ s% }' }+ D( H0 Farchitecture behav of  mycounter_60   is
- ^7 L. H2 o, G5 n+ L+ b' c5 Z  zsignal bcd1n:std_logic_vector(3 downto 0);
; g( X7 N- g1 k& g$ esignal bcd10n:std_logic_vector(2 downto 0);
' J2 |3 V3 c" c6 g+ W6 I( k
) b3 L) b8 _" R! n1 f  xbegin
: M7 R- P: Y& ]! u' D   process(bcd1wr,clr,en,clk)
6 z4 C) }1 f: I/ h- e8 C   begin
' O  s: l* I. j  k8 ^  Tif(clr='0')then
8 V( H; V! ?9 abcd1n<=(others=>'0');
1 n! Z& X6 j3 B  ^# J0 a7 N3 @$ l( K) I7 p4 l/ {& X& J
elsif(clk'event and clk='1')then
4 H% ~9 p4 D( f; n5 A3 v( kif (bcd1wr='1' and en='1')then6 A2 i4 i  X+ n) l2 F% Z
bcd1n<=din;
+ @+ E4 i) j1 ~2 Q; c- e) p' l! ?elsif (en='1')then; u1 g9 i! P: W
bcd1n<=bcd1n+1;
3 U0 y" J4 z+ _5 s; Tif (bcd1n>=9)then  D+ M4 G- D+ ^5 |3 A  F
bcd1n<="0000";
/ m' C' [/ t0 z8 C' h2 H9 P" s" P1 e2 P- ^: X4 N, X( c* q
end if;
  T% D0 J  p+ B8 A' k  c+ A1 l( a$ Aend if;0 J& g" p" [# c* K7 w
end if;
' e4 }2 {+ P* F6 Z* Gend process;
4 D5 z2 J/ Y' J- u$ B. y+ U
1 u* g$ F; w, T) W/ B/ k: H8 u1 g  O! G. u1 q. E! m
process(bcd10wr,en) 0 I: X9 }! H5 |
begin+ q4 Z4 R9 L$ F5 \+ _2 z
if(clr='0')then' g# H" v8 L5 P6 E& G/ D" M
bcd10n<=(others=>'0');6 Z" m3 [' R. k' q
if(bcd10wr='1' and en='1')then
0 q2 |5 S( t. sbcd10n<=din(2 downto 0);, a7 t% A5 M4 d& F. X: T
elsif(bcd1n=9 and en='1') then% u9 j+ ?* p6 ~! q
bcd10n<=bcd10n+1;' ^. @& O9 S; H& P" z3 e! ?
if(bcd10n=5) then
/ v- a. d5 [# A+ c/ M* C2 @bcd10n<="000";% L+ j' w3 [8 Y& s) t
co<='1';
. @; W- t" l: y6 e5 felse
9 N* g/ I' O  ^" Hco<='0';& V& X& |  P5 s7 A
end if;
& ~' a9 |8 p7 s" A/ Q1 p. n3 c8 n* }2 wend if;
8 s4 E: V' K, ~, O. w$ G$ Send if;
  q* {  F1 g: |, r' ^0 p7 ]$ Q" J/ ~end process;
! x9 y/ [1 y7 S; Y* }2 D
6 U& M/ _& R1 h6 H( w7 `# X2 @bcd1<=bcd1n;
" L+ f- h7 V3 D# e! u4 F; q% K: ?: Dbcd10<=bcd10n;  f1 _, A8 \; _/ K- y! }% W) v9 B% v
end behav;1 C, Q" J9 P7 y0 F
       3 ]! K) K/ Y6 u, ]
     
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-11-24 08:43 , Processed in 0.056171 second(s), 32 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表