找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 719|回复: 1
打印 上一主题 下一主题

程序错误,求救

[复制链接]

2

主题

18

帖子

-8983

积分

未知游客(0)

积分
-8983
跳转到指定楼层
1#
发表于 2011-10-12 15:41 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
下面程序中有错误,新手,不知如何解决,求解答
* s  I1 }, {' A  r. nlibrary ieee;" d9 i) `# n, u+ g; N' T
use ieee.std_logic_1164.all;+ c" r  O/ o. {. W8 j
use ieee.std_logic_arith.all;6 X2 c- ~6 N; w* Y
use ieee.std_logic_unsigned.all;$ r( b- n- |  A! `7 }, P
! g  L7 q3 i7 i' e
entity mycounter_60 is" R$ z( O* t& f( o' A% @
    port(clk,clr,en,bcd1wr,bcd10wr:in std_logic;
9 K8 F" {: S+ Z/ P0 ]         din:in std_logic_vector(3 downto 0);  H9 p9 z/ f, k9 G2 U  K
         bcd1: out std_logic_vector(3 downto 0);
6 I) ?+ x( E0 f8 y6 |/ z2 u         co: out std_logic;* Z$ L1 ^3 R, z% f
         bcd10: out std_logic_vector(2 downto 0));
  N' `# B3 G; bend mycounter_60;
5 F: J9 B# b. J* i9 a& ^- [* N7 C' b% n. @$ A3 n( ]# m  [" g
architecture art2 of mycounter_60 is
* B' b6 L# l8 l2 k4 ksignal bcd1n:std_logic_vector(3 downto 0);  w- y6 E6 y1 k
signal bcd10n:std_logic_vector(2 downto 0);3 S' C. S* H( ]  n) ?% H
begin
. f. o) e2 U& v5 I% K7 G5 T    PROCESS(clk,clr,en,bcd1wr) is
, ^+ {; t- x( }* l  Y    begin, T7 {. t. U8 Z& ^6 w& j  Q4 P5 D
        if(clr='0') then
" P# K" G7 [( {6 b; z5 G3 X7 k            bcd1n<=(others=>'0');" {5 ?4 F& u9 t
            bcd10n<=(others=>'0');
8 g0 I1 P: Y" v* M( p0 e$ Q        elsif(clk'event and clk='1') then
8 v9 D) N+ J8 `% f$ H  p; r            if(bcd1wr='1' and en='1') then
9 G. e4 c2 F  D* s* x% ^7 @* E                bcd1n<=din;
/ l3 s% I3 Q( s% H2 `3 Y8 x* U' A2 U            elsif(en='1') then  K, k1 D: {$ g
                bcd1n<=bcd1n+1;
  T, Z$ m( v7 q                if(bcd1n>=9) then
9 S( r( S, }& G  L- d                    bcd1n<="0000";7 V4 U  X2 O: a8 m
                end if;
- [* r/ p! X' K* o( P3 W  S            end if;( A8 a* ^9 Z% x$ Q1 \) L9 a
        end if;
8 U+ ]) Z1 p: v    end process;  l' h$ _0 R2 x& J% |
   
+ Y8 @+ i' v- m$ W7 m) {& M    process(bcd10wr,en,bcd1n) is
9 ~7 x8 n" _% W: a+ T    begin* D" P7 U" N- w) F
        if(bcd10wr='1' and en='1') then  }* d. T( S  Z) p& _# I
            bcd10n<=din(2 downto 0);6 v& ]5 v$ ~# B, c: I0 W
        elsif(bcd1n=9 and en='1') then  o; u1 z5 S) h) D
            bcd10n<=bcd10n+1;
6 z* k- M) L' W+ w- r- @            if(bcd10n=5) then6 r* q! E6 f' E3 A! i
                bcd10n<="000";+ G2 e0 h! b7 J7 l/ i2 o/ A
                co<='1';$ }: g% i8 d6 o+ @/ s0 U7 {% |/ T
            else6 ?0 \# q/ [4 H/ W) F& ?
                co<='0';7 B" C, J! [. K7 _4 ]- K) v
            end if;
7 j4 }6 D3 B! O& g        end if;0 K. [* O3 U* y2 f- O' `
    end process;
( t0 j+ i! d! Z) e1 [   7 d4 G- p% n6 }, {$ f. L
    bcd1<=bcd1n;
9 \: o5 ~$ w1 ~: m4 k. o    bcd10<=bcd10n;+ \0 Y( ~# B$ e  I5 F* N2 T1 [
end art2;* q$ s2 ~" p1 ?* k$ X" i
2 R9 K( W* s7 p: Q. g
非常感谢
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

6

主题

30

帖子

144

积分

二级会员(20)

Rank: 2Rank: 2

积分
144
2#
发表于 2012-2-24 17:09 | 只看该作者
这些都是小错误,希望细心一点
. {! y5 r- o4 n6 s# Nlibrary ieee;
5 x/ D& z/ D0 ~. f+ juse ieee.std_logic_1164.all;
* B7 Q! O& G! w$ Q; Luse ieee.std_logic_arith.all;# F2 h! q+ V' p( g' t
use ieee.std_logic_unsigned.all;- |. R, E1 g2 t* B
entity  mycounter_60   is
8 S1 d0 z7 W" U/ rport(  clk,clr,en,bcd1wr,bcd10wr :in std_logic;
4 w& a# w* _  h& }        din:in std_logic_vector(3 downto 0);' q: P9 \2 c& s0 H* m% C  K
        cout std_logic;
  W" D8 s6 E1 J: A, v       bcd1 ut std_logic_vector(3 downto 0);
! \  E) a$ ~2 B        bcd10 ut std_logic_vector(2 downto 0)
, M) N" D  s4 V8 C/ O+ b' p: }       );
+ ~" m8 h& {, C' \7 ]/ fend;' D, |1 i2 z, _* f2 C8 Z# ^. i
architecture behav of  mycounter_60   is% x9 a! w. R# t4 w5 w7 H
signal bcd1n:std_logic_vector(3 downto 0);* \9 f6 k7 n* a$ ~0 W" V" U
signal bcd10n:std_logic_vector(2 downto 0);
5 B! O: R) N( X; i( p5 `- z; `4 z& B' Y% A( j! E% ]4 Z1 s+ h  m
begin* p2 a  @# |- e
   process(bcd1wr,clr,en,clk)  I  k) R. G2 P8 Y2 f$ `$ c. f+ ~
   begin % F( d9 y- R+ R$ @2 K
if(clr='0')then8 B8 d, B# {# e$ O9 o: `
bcd1n<=(others=>'0');5 ?3 o. ^3 u4 v, E3 g& _; N

+ Q# K, v8 r$ K) B% Pelsif(clk'event and clk='1')then! _) D  k. y; r2 r
if (bcd1wr='1' and en='1')then
5 Y- o2 y: @: w$ Qbcd1n<=din;
9 o$ a- P* [* W3 o/ }9 B  C3 V( Yelsif (en='1')then! l; ^, Y4 ^: J# L' H0 r$ R
bcd1n<=bcd1n+1;- D1 b. `, k9 b
if (bcd1n>=9)then: w; C. r$ M7 Q/ S- D1 N
bcd1n<="0000";
; |; ]8 k1 O# p9 c5 L- G" h# Q- w6 ^& [
end if;
4 T5 m+ K8 ~( `. B6 \) Y2 f/ `end if;
' n5 J+ y( ^" ?6 c: ~& g+ ]end if;
3 `7 K! i" z; P/ _4 K" Y  @: Kend process;
! S* M* J& w, P: V; |( Y  U5 x1 ]8 i# i# }& Z/ H

0 M' o. t: q3 Nprocess(bcd10wr,en)
, u6 z$ h- v6 z9 h) S9 h/ P" W1 Gbegin
6 n% M2 j( V6 h+ I1 d  R# k( Cif(clr='0')then* K2 G! b( H' }+ P# T
bcd10n<=(others=>'0');* @0 L) @( w) [) J
if(bcd10wr='1' and en='1')then
. ^) ^; f7 w6 o) _. h# ubcd10n<=din(2 downto 0);* R7 m% S, m3 W7 u' p
elsif(bcd1n=9 and en='1') then
: F6 D! T& y0 s3 jbcd10n<=bcd10n+1;) P$ A5 e$ N7 J3 t6 f
if(bcd10n=5) then
6 A7 N' P7 j; M3 S0 G& U, P0 J$ n- k" Wbcd10n<="000";
+ F2 ~1 c9 C: E' @# l( T! _! w$ _: Wco<='1';9 i& G& r6 T" h$ J, e
else4 R$ V' p4 _, t: w: W
co<='0';* q, @$ X4 r5 G. \+ {3 Y
end if;1 j% |$ r& k3 t, }$ k
end if;
* \2 b, h# B5 `& v7 Qend if;
* x  [/ ^8 d- J$ f' v/ P' Lend process;
3 z3 M% ]( ^2 z4 d' T
& L# Z  M. U" a1 z: @" {9 kbcd1<=bcd1n;
. Z5 f) v) e% i) ubcd10<=bcd10n;
4 p6 A$ q' H# C% {5 |end behav;
, n6 c9 W9 z) o$ v( X7 [- u, U+ a4 `8 Q2 `       & a% P  R, O$ v1 t: Q- q
     
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2025-2-19 06:30 , Processed in 0.056929 second(s), 33 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表