找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 720|回复: 1
打印 上一主题 下一主题

程序错误,求救

[复制链接]

2

主题

18

帖子

-8983

积分

未知游客(0)

积分
-8983
跳转到指定楼层
1#
发表于 2011-10-12 15:41 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
下面程序中有错误,新手,不知如何解决,求解答
1 j4 o* X2 F2 @2 \1 \9 Flibrary ieee;
9 k' b" g1 y: \  P' m& ]/ M( w" ruse ieee.std_logic_1164.all;
% |5 ~& R  w4 _* R2 fuse ieee.std_logic_arith.all;
8 p. N( x* A0 @. quse ieee.std_logic_unsigned.all;
% p/ E! V, q* c% a, _
3 L: x- F  b3 J7 d+ @$ Aentity mycounter_60 is" Y1 l& f- z- e& h& X2 p+ v
    port(clk,clr,en,bcd1wr,bcd10wr:in std_logic;
8 K5 D+ h; Z0 ^9 U; B         din:in std_logic_vector(3 downto 0);: \% N! F  i1 j5 Q+ K# D1 u+ s
         bcd1: out std_logic_vector(3 downto 0);
* P) Y3 Z  n  B) E" C4 I$ {         co: out std_logic;3 \; o) H4 F8 B" Q- ^6 z( M
         bcd10: out std_logic_vector(2 downto 0));# i3 z, y7 |- _' \, x) @
end mycounter_60;
" G' w& f- i% h7 N6 J1 v1 i( _) A. b1 ~3 N8 J: d0 A* ^
architecture art2 of mycounter_60 is
' ^$ B2 x& t2 {8 Esignal bcd1n:std_logic_vector(3 downto 0);
3 w7 @) ^, G$ i5 X" Vsignal bcd10n:std_logic_vector(2 downto 0);
9 Z" p" Y$ e- y) j; P/ E9 Lbegin
) f, B- V" e% H$ K1 O9 P( t% Z* b    PROCESS(clk,clr,en,bcd1wr) is! T$ _/ B& C& ^
    begin; `) E; _% {# e7 a: r
        if(clr='0') then
+ v1 a: v2 g8 S: Y            bcd1n<=(others=>'0');
/ i1 P7 Z8 y0 q5 \1 n            bcd10n<=(others=>'0');. A1 H# K$ K& H% f
        elsif(clk'event and clk='1') then
4 ]* G! O# M* }  {            if(bcd1wr='1' and en='1') then
) s! u, |2 n1 W- R                bcd1n<=din;
; s3 O) m. g0 M9 b2 g0 P            elsif(en='1') then* M$ _" {; s2 k* ^% \
                bcd1n<=bcd1n+1;8 G8 i6 {/ F/ `) ]# h
                if(bcd1n>=9) then# [9 W. J3 q! |, `) K" ~* q
                    bcd1n<="0000";; r1 K# ^6 w1 J, }4 }' ?
                end if;$ O$ m* [  ~, K/ t7 {+ j
            end if;
' ?- @% V' ?0 `* ^  B        end if;, u: R4 i- B5 W: m
    end process;
( t7 Z2 l9 y) U2 X( B4 e   
0 h0 C- @- ^; t. s! B6 S    process(bcd10wr,en,bcd1n) is
# A+ B' Z6 i: y    begin. d3 v+ V6 b1 q, R2 K$ A
        if(bcd10wr='1' and en='1') then
& J  r5 j2 s/ c2 `3 I            bcd10n<=din(2 downto 0);! f( H$ y! K' d: V5 V0 _+ R) k
        elsif(bcd1n=9 and en='1') then3 e: `3 g' t1 K- Z# r
            bcd10n<=bcd10n+1;
' C- r" ?1 f- x0 E! ?: Z            if(bcd10n=5) then  d: W) a1 y% s# v+ a. U
                bcd10n<="000";  T3 y  i; W8 v2 i) T
                co<='1';
2 T0 [2 G, E$ l# L6 u% }- s; M            else
, ], P0 b. X6 Q! w                co<='0';% o$ ~) v6 K+ Y  T8 l
            end if;
3 c) z  c/ ~7 R* m        end if;
! s$ j3 [6 V. k! s2 |9 C    end process;) Y0 W, S, G9 k8 D/ }2 M4 `
   ) Q5 S3 h. ^: `$ M8 [. n6 K
    bcd1<=bcd1n;
9 z. r. {" [& s/ C) P5 m. m    bcd10<=bcd10n;
0 q* M: B) K8 w; ]% [9 @) }3 Mend art2;
+ B3 i- U. e& A( T. g, B- y3 J2 ~/ Y" e) E, v! K; G. W
非常感谢
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

6

主题

30

帖子

144

积分

二级会员(20)

Rank: 2Rank: 2

积分
144
2#
发表于 2012-2-24 17:09 | 只看该作者
这些都是小错误,希望细心一点7 f# E3 ]# \  s, p: |$ R* w
library ieee;
* [! Z% [$ v( b+ A: v& ?  P8 wuse ieee.std_logic_1164.all;. a& w% {8 u" f2 @' F
use ieee.std_logic_arith.all;/ S0 G! x' ]8 e
use ieee.std_logic_unsigned.all;% }  l( I& o- F, P
entity  mycounter_60   is
% {: m. a+ t6 ~! s% fport(  clk,clr,en,bcd1wr,bcd10wr :in std_logic;
9 h6 h& U$ l! `9 `6 d, q5 `: ]        din:in std_logic_vector(3 downto 0);( N* m- p# }" @6 z# ]: y
        cout std_logic;& f5 |+ n' }, P3 U! m; Y
       bcd1 ut std_logic_vector(3 downto 0);" L" r6 M3 r3 _; M( u2 m" d& k3 Q
        bcd10 ut std_logic_vector(2 downto 0)
' ?  h; ]6 z1 u+ V. \- E% B" b- ?       );
' b  i3 G* B5 n7 U3 T+ Cend;& y9 F. m4 n( o7 \2 t, V; l+ x
architecture behav of  mycounter_60   is3 [: Z, p: x/ _3 L2 M$ g
signal bcd1n:std_logic_vector(3 downto 0);
" a) W3 [6 |# A/ J& wsignal bcd10n:std_logic_vector(2 downto 0);
+ m' t' p/ P& y3 @0 {, W0 o0 S
& c; i, }* G1 m5 {4 w( Hbegin
: L2 d9 A# k  g; G8 [   process(bcd1wr,clr,en,clk)
9 ?7 s! m3 f" G" {+ ^% j- r   begin
1 B' I- l; F, U0 wif(clr='0')then' J. |4 K/ E' A- g, P5 t
bcd1n<=(others=>'0');
8 V  d3 |& b9 W4 ?/ N5 j8 [- n4 U$ A7 [4 V
elsif(clk'event and clk='1')then
7 c% p# Z  e7 o$ `6 s8 cif (bcd1wr='1' and en='1')then* w' \. |3 k: T% E* c. o
bcd1n<=din;7 i: V5 p9 V0 x2 u; A
elsif (en='1')then4 ^" M. g7 ~* u
bcd1n<=bcd1n+1;
7 r  q, b5 D$ y. }5 gif (bcd1n>=9)then
6 W& h/ }# }; r+ k  a& Y% lbcd1n<="0000";: c$ p2 {0 ^& g; v: D

% ~' f; i6 p5 Kend if;
3 J7 _( F; o1 Nend if;+ ^' H2 P. L, q! h8 N6 w
end if;
) C2 j3 ?& U8 v3 \% {7 wend process;
' u3 ^) P& F3 e$ V# q$ ]) o  A- s9 X& k5 j
$ p  c2 J$ i/ E: ]4 e
process(bcd10wr,en)
/ K7 h$ x" o- p' l' ]begin
2 @: ]+ l5 R* \/ v( Iif(clr='0')then7 W+ J6 g; v' D, G, M
bcd10n<=(others=>'0');
, a6 w3 x+ f5 jif(bcd10wr='1' and en='1')then
4 B7 a5 P) q  M0 I% `7 vbcd10n<=din(2 downto 0);
  G/ z. _2 s$ d6 A, b! Q, Oelsif(bcd1n=9 and en='1') then+ J  Z, z* o& P8 R6 }" g1 s% Q, h" c
bcd10n<=bcd10n+1;
% A/ q) G6 d2 Z2 q; lif(bcd10n=5) then
' c% ~5 M$ @1 X6 Wbcd10n<="000";
, k) ^/ O1 f) Pco<='1';
$ s6 z0 ]3 R- F/ b  l% v4 ~else
; J, j2 w; }1 k, L7 t6 |co<='0';
) I- w* r4 x2 b. W* bend if;
2 E' k. d4 |/ L5 a  Kend if;9 J* o6 E6 X  n9 b$ O5 t
end if;
, G( f8 W& K' U6 x( h  w, tend process;
( A* ?9 H1 |; t0 r2 ^" C
- ^6 d2 _# L& I- O  T5 Pbcd1<=bcd1n;
/ L$ x9 `7 x, `- Rbcd10<=bcd10n;. D& L8 o3 J- a, |4 P$ N/ f
end behav;
6 q  G$ ^, I6 U+ C# B2 \      
2 G4 S( U, N* s     
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2025-2-19 07:16 , Processed in 0.054368 second(s), 33 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表