找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 714|回复: 1
打印 上一主题 下一主题

程序错误,求救

[复制链接]

2

主题

18

帖子

-8983

积分

未知游客(0)

积分
-8983
跳转到指定楼层
1#
发表于 2011-10-12 15:41 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
下面程序中有错误,新手,不知如何解决,求解答
$ M# Y+ v6 `1 c8 d' m$ Q/ H( l9 p0 zlibrary ieee;
& V# ^9 I/ h  T) iuse ieee.std_logic_1164.all;
' Y1 V4 k; I+ b) quse ieee.std_logic_arith.all;9 g6 l" M( _  [
use ieee.std_logic_unsigned.all;
7 t# ]+ L% F: }! Z# q
1 p. m$ f# w! t/ c  U4 wentity mycounter_60 is
0 |  ~) k7 I0 n: B) z4 w    port(clk,clr,en,bcd1wr,bcd10wr:in std_logic;1 `5 N' v5 O; @) t/ R$ H5 O0 i
         din:in std_logic_vector(3 downto 0);/ t( p6 l. G8 t! E$ X# ?# H8 `7 d
         bcd1: out std_logic_vector(3 downto 0);
  G2 Q4 s: J  w* a- R         co: out std_logic;
3 m( A  E3 v  E7 V         bcd10: out std_logic_vector(2 downto 0));
# R8 U) G) @) J; ]) ~end mycounter_60;
: F8 T3 _; t& K. b
% M% s$ u6 o$ C: T' n. E5 E% Darchitecture art2 of mycounter_60 is
& b5 ?2 f* x8 L9 T+ Q  F/ E+ Hsignal bcd1n:std_logic_vector(3 downto 0);3 A% M; o8 `9 A; M
signal bcd10n:std_logic_vector(2 downto 0);
/ I/ N6 H9 m$ D% F% P% [begin) [! r1 W8 |3 D8 I- ?1 q
    PROCESS(clk,clr,en,bcd1wr) is
+ `( m6 C$ K8 Z+ I2 r    begin8 y6 \0 q6 O' E6 g/ W- u
        if(clr='0') then' l$ i. u4 O6 d- F
            bcd1n<=(others=>'0');) ?: A9 U' A( C  h) P
            bcd10n<=(others=>'0');
  F- F2 o# O5 a9 U" I        elsif(clk'event and clk='1') then
- I" ?0 x6 t' d# y) Q3 k. }5 y            if(bcd1wr='1' and en='1') then
/ z  m" g$ z& X  q; M( R                bcd1n<=din;$ e7 M+ d4 Q5 @
            elsif(en='1') then
7 L& X8 o0 o/ W6 r2 C! r                bcd1n<=bcd1n+1;- h2 m1 E- S; `; d; v
                if(bcd1n>=9) then
7 u2 O3 p( R/ N                    bcd1n<="0000";
7 W2 _/ f3 c6 h! y                end if;
+ D6 ^4 Q( a$ O/ o            end if;
1 ^& _, a$ W! Y) w        end if;+ n& e) ]- v  P- N- }' i% @: L; a1 Y
    end process;) ?- J4 }  C" V/ `: V- b' E  b3 `
   ! `  i0 Z# Y7 }7 {- T3 g
    process(bcd10wr,en,bcd1n) is3 O" Q+ k8 h* o& @5 N' N  x
    begin
0 S% a# r' W& o" [, s        if(bcd10wr='1' and en='1') then/ {/ ?# L+ o+ f9 Y/ P0 Z
            bcd10n<=din(2 downto 0);2 ^  d# b5 F! H8 K# C7 E
        elsif(bcd1n=9 and en='1') then
5 i- `9 F  @5 y* N            bcd10n<=bcd10n+1;" r, k$ G+ r2 `- P9 v- }
            if(bcd10n=5) then
/ N$ i+ d+ c) k( b                bcd10n<="000";
% X: B$ d& ~& f                co<='1';
$ O7 u; u8 g7 O( j1 N% ^            else
0 ^( l* Y; t3 f% L$ Y                co<='0';% ~, Q8 f) ^% D. U
            end if;
* T: Z8 ]  [2 D9 z3 J& r        end if;& X8 {/ z1 y( h1 l1 X( i  D
    end process;
# s# j2 O  R. y( A+ G9 `# r! X   9 M5 j5 p' t7 V. f
    bcd1<=bcd1n;4 s) i  p1 S: x. F0 ?
    bcd10<=bcd10n;
" k; J7 W# |* Z7 xend art2;
* ]+ {5 k+ [8 Z! d" f2 @
: |6 t9 s; ?2 a2 G! C- e非常感谢
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

6

主题

30

帖子

144

积分

二级会员(20)

Rank: 2Rank: 2

积分
144
2#
发表于 2012-2-24 17:09 | 只看该作者
这些都是小错误,希望细心一点
8 Q8 J, I/ R. m  Q1 m; v$ _library ieee;
* K: Z1 j$ U* U+ puse ieee.std_logic_1164.all;3 n& i( ?$ _( M. ]
use ieee.std_logic_arith.all;
/ [) V% v; E8 F8 {3 Q( w, x  S7 H% Kuse ieee.std_logic_unsigned.all;/ K- k- ^, J& i( c7 ?
entity  mycounter_60   is7 q% p5 @" |% `# s" U/ V0 R9 _
port(  clk,clr,en,bcd1wr,bcd10wr :in std_logic;
* ~8 A5 `* d# A( P( t# m; b        din:in std_logic_vector(3 downto 0);) G: ?& T+ f: r* M1 u$ e
        cout std_logic;. R( k/ o) B  t. z0 b
       bcd1 ut std_logic_vector(3 downto 0);6 K" U5 Y# V  `% S. W
        bcd10 ut std_logic_vector(2 downto 0), L# E% l$ a* D* k4 h
       );
$ f2 o! U( f# y" |end;; ~* ]5 E3 U0 o0 E
architecture behav of  mycounter_60   is5 l' m# H# u1 [$ V( z5 U  y/ S
signal bcd1n:std_logic_vector(3 downto 0);
) G1 F) H% J( h# esignal bcd10n:std_logic_vector(2 downto 0);. }' z- }, M& f+ q" T+ Q

+ C( p: x6 L" g( ybegin+ i- I/ C/ ]* }9 u3 x
   process(bcd1wr,clr,en,clk)
7 K! L" N7 ^/ {$ e) N. H   begin
0 A( d. h+ R/ t) Z9 a- Lif(clr='0')then
2 Z: ^4 h) i, }8 @+ J% l" x8 Zbcd1n<=(others=>'0');
! d; b% n% c' ^+ H1 s- t; P. S' y6 j8 V0 ^  {" |5 i
elsif(clk'event and clk='1')then
4 _. C/ r$ O$ j* I  I+ Rif (bcd1wr='1' and en='1')then1 _* j: ^& ?7 O6 ^& c7 G' H6 C
bcd1n<=din;
7 M0 m6 f/ J/ H( Q$ ?1 L* gelsif (en='1')then& c. e* F" D, U: K  O
bcd1n<=bcd1n+1;
8 Y7 x' H% S! B9 oif (bcd1n>=9)then
( ]  \- g& {; H  \5 Y% m& n5 zbcd1n<="0000";
0 m, U8 r$ U" h1 I1 C( v+ S* ?9 {/ J3 y
end if;& \* \/ @, Q- `- O$ p' @
end if;
8 D6 a8 S3 r) k' L2 Kend if;
/ d9 b2 x$ T- Y" E  C! ?- uend process;
( n# w) }0 d6 W) |& r* c1 x6 E& n' ?  i! U
/ }" H9 Y/ N- {4 V+ T
process(bcd10wr,en)
8 E% s) Z, y! `+ e1 g* ~begin
, ^/ d& @' b/ N+ y) m, ^( ]! }if(clr='0')then3 y' ~) r2 a# @" e. z& A* B
bcd10n<=(others=>'0');# M. f3 s4 T, V1 h# R/ b* |( d
if(bcd10wr='1' and en='1')then1 l  b5 B8 W. {  ]/ P/ [& h
bcd10n<=din(2 downto 0);
) S# c; d( `4 w. n7 G5 u# celsif(bcd1n=9 and en='1') then
! A! ?; P+ y) I# Qbcd10n<=bcd10n+1;4 G' _9 L. d4 N2 a
if(bcd10n=5) then
) w0 X  s6 X$ l- T, C$ Q. ?" \bcd10n<="000";
5 R1 M! ~$ q8 K8 j- d7 Wco<='1';0 S2 l1 I' Z7 w$ |, s% d% z4 ]
else2 E+ {5 d/ D* _- v
co<='0';' P1 U, F, B( z( w6 C1 y" z
end if;: z3 X+ B' e7 e1 U6 I0 D$ _7 d
end if;
" `4 }& O# ?" w4 U& rend if;( o% s& i' O! R5 O: W  T
end process;
5 J( v" ~# w9 c2 `  z, a) m
* h5 i' M% O' O, e/ r& ubcd1<=bcd1n;) E) J, K. [% h
bcd10<=bcd10n;! K9 M0 J& ^8 t( k. S" L2 \
end behav;. X' Q  s' z& U, J
      
; K/ Z5 O7 x& n  h) Q$ j. s& D     
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-11-28 02:09 , Processed in 0.058094 second(s), 32 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表