找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 505|回复: 0
打印 上一主题 下一主题

QUATRTUS II 9.1在编译时报10482,ROM_DATA未定义错误

[复制链接]

3

主题

41

帖子

407

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
407
跳转到指定楼层
1#
发表于 2013-2-25 10:27 | 只看该作者 回帖奖励 |正序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
各位好:) E+ F$ S) X' c: v
       才开始学习FPGA。在看清华的ALTERA FPGA工程师成长教程时使用第六章的源代码,在QUARTUS II 9.1进行编译时报10482错误,提示ROM_DATA未定义,不清楚如何排查故障,请指教。多谢!
$ y7 S, B6 ?& t2 H
: ^  y4 d7 C2 b7 ~  R
; K8 @* \, B6 n3 r* ^2 p
  D7 v5 z; |3 R. G* i. y* S7 q1 J1 @* y+ Z! l8 x

) t6 ~6 s! [% Y$ R3 E4 @6 ^- p. _7 E. G

' V  h. ~* b. E# t  b5 D; I, iLIBRARY IEEE;                                                                                                //调用标准库文件; b/ z) C2 ]& h- d+ o: D" ~4 _/ h
USE IEEE.STD_LOGIC_1164.ALL;
1 C- @5 N+ X7 Y% S) }; rUSE IEEE.STD_LOGIC_UNSIGNED.ALL;
. L3 K" `0 z6 T' l: N+ rENTITY sinfsq IS
. e8 b. o8 \5 a1 e* z) ~! f   PORT(                                                                                                //端口定义: j) f5 }& l1 }6 Y/ O9 g1 C$ h! @; O8 L
       clk : IN STD_LOGIC;( n, h4 N  E: c
       dout : OUT STD_LOGIC_VECTOR(5 DOWNTO 0)) ;, [9 d% ^. c3 E+ h
END sinfsq;
- Y* S4 K, R: X" iARCHITECTURE behavior OF sinfsq IS" H" _) `+ F- F- N6 m
COMPONENT sin_rom                                                                              //声明ROM元件
4 V+ F( y9 N6 _        PORT(
# Y8 o2 d5 l1 S* U) ]) d" n                address        : IN        STD_LOGIC_VECTOR(5 DOWNTO 0);
/ R7 G" A4 |" h* i- k4 R                inclock        : IN         STD_LOGIC;1 Q1 ?- g% l9 O) h" x8 S
                q            : OUT        STD_LOGIC_VECTOR(7 DOWNTO 0));
7 a3 D" T0 C4 T6 t. NEND COMPONENT;# ]# d! j# H3 C8 {
   SIGNAL wt: STD_LOGIC_VECTOR(5 DOWNTO 0);  ^( G5 V7 B+ [
BEGIN/ z" n" \8 U# }7 I+ V3 |$ d* B, I
   PROCESS(clk)9 [/ G; r! [% F8 s4 F8 Q
   BEGIN
% t# |- g! A' g+ d      IF clk'EVENT AND clk='1' THEN% i$ p2 ^6 `8 U: T
         wt<=wt+1;5 c! j7 D5 ?( _2 n4 L* P9 u, E
      END IF;
+ C" D. U" D) [9 j   END PROCESS;- p- u6 L& J& _5 S2 S
   u1:rom_data PORT MAP(address=>wt,inclock=>clk,q=>dout);                        //例化ROM元件
! z: y5 g9 r: h! g1 xEND behavior;: t  P; [1 o/ x# {/ U/ C" `( z% ?
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-10-23 21:31 , Processed in 0.056800 second(s), 35 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表