找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 1642|回复: 12
打印 上一主题 下一主题

华为布线规范

[复制链接]

39

主题

270

帖子

6707

积分

五级会员(50)

Rank: 5

积分
6707
跳转到指定楼层
1#
发表于 2013-2-19 15:39 | 只看该作者 回帖奖励 |正序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
设计过程
- r, R$ P' _- ]& Y. Q" U' v  A. 创建网络表* m! i4 C4 G9 V) I0 d
  1. 网络表是原理图与PCB的接口文件,PCB设计人员应根据所用的原理图和PCB设计工具的特性,选用正确的网络表格式,创建符合要求的网络表。% f4 E1 m2 k% ^% ~7 o
  2. 创建网络表的过程中,应根据原理图设计工具的特性,积极协助原理图设计者排除错误。保证网络表的正确性和完整性。
6 C$ M: P. @" `  3. 确定器件的封装(PCB FOOTPRINT).4 {; |! D) x+ d9 g: j
  4. 创建PCB板 根据单板结构图或对应的标准板框, 创建PCB设计文件;
% q5 L, i& @5 t% `. S2 C  注意正确选定单板坐标原点的位置,原点的设置原则:% h) p2 J9 d  |4 b3 Z
  A. 单板左边和下边的延长线交汇点。
. l, e5 b5 ^5 [) |, w  B. 单板左下角的第一个焊盘。1 i3 j, G" N/ V9 M$ Q- [2 h
  板框四周倒圆角,倒角半径5mm。特殊情况参考结构设计要求。  p' B) o, ^7 i& i( l% U
  B. 布局
# W& L( e- x( T2 @  1. 根据结构图设置板框尺寸,按结构要素布置安装孔、接插件等需要定位的器件,并给这些器件赋予不可移动属性。按工艺设计规范的要求进行尺寸标注。
6 J6 A1 b( {( O2 C/ j) `# x  2. 根据结构图和生产加工时所须的夹持边设置印制板的禁止布线区、禁止布局区域。根据某些元件的特殊要求,设置禁止布线区。% a1 l9 J  D0 Y4 J; u, |
  3. 综合考虑PCB性能和加工的效率选择加工流程。
5 t; \! I4 ?" ]" @  加工工艺的优选顺序为:元件面单面贴装——元件面贴、插混装(元件面插装焊接面贴装一次波峰成型)——双面贴装——元件面贴插混装、焊接面贴装。
; Y0 X+ i) w5 w  4. 布局操作的基本原则# U; j5 Z3 I6 W0 Z* R4 m% k# B2 r
  A. 遵照“先大后小,先难后易”的布置原则,即重要的单元电路、核心元器件应当优先布局.) q4 Z, O0 [1 V7 m; k  l
  B. 布局中应参考原理框图,根据单板的主信号流向规律安排主要元器件.9 z' F' u$ t0 @
  C. 布局应尽量满足以下要求:总的连线尽可能短,关键信号线最短;高电压、大电流信号与小电流,低电压的弱信号完全分开;模拟信号与数字信号分开;高频信号与低频信号分开;高频元器件的间隔要充分.
" S( |  N9 w) w, K8 {  D. 相同结构电路部分,尽可能采用“对称式”标准布局;$ M5 @, H* _, m4 n3 O4 i$ g
  E. 按照均匀分布、重心平衡、版面美观的标准优化布局;: {& @* Z( b2 v) q- F4 H% `
  F. 器件布局栅格的设置,一般IC器件布局时,栅格应为50--100 mil,小型表面安装器件,如表面贴装元件布局时,栅格设置应不少于25mil。% o" T! \: d" @8 ~! V( e, ~
  G. 如有特殊布局要求,应双方沟通后确定。% ?. ?  d1 p  h% _; X
  5. 同类型插装元器件在X或Y方向上应朝一个方向放置。同一种类型的有极性分立元件也要力争在X或Y方向上保持一致,便于生产和检验。7 n; A: b6 ]8 ?1 j, G5 {
  6. 发热元件要一般应均匀分布,以利于单板和整机的散热,除温度检测元件以外的温度敏感器件应远离发热量大的元器件。' U" `5 f7 p" X
  7. 元器件的排列要便于调试和维修,亦即小元件周围不能放置大元件、需调试的元、器件周围要有足够的空间。3 Z3 _+ I8 ?0 a* L  f% |
  8. 需用波峰焊工艺生产的单板,其紧固件安装孔和定位孔都应为非金属化孔。当安装孔需要接地时, 应采用分布接地小孔的方式与地平面连接。& q; `0 ~: P2 j/ F
  9. 焊接面的贴装元件采用波峰焊接生产工艺时,阻、容件轴向要与波峰焊传送方向垂直,阻排及SOP(PIN间距大于等于1.27mm)元器件轴向与传送方向平行;PIN间距小于1.27mm(50mil)的IC、SOJ、PLCC、QFP等有源元件避免用波峰焊焊接。
8 s- O+ U& I0 F; c7 H3 `  10. BGA与相邻元件的距离>5mm。其它贴片元件相互间的距离>0.7mm;贴装元件焊盘的外侧与相邻插装元件的外侧距离大于2mm;有压接件的PCB,压接的接插件周围5mm内不能有插装元、器件,在焊接面其周围5mm内也不能有贴装元、器件。
3 c% S+ y" \: G. p/ h" S, i- w2 h- B6 @  11. IC去偶电容的布局要尽量靠近IC的电源管脚,并使之与电源和地之间形成的回路最短。" ~0 q( }% p' h! `+ E' A) C+ c" ?
  12. 元件布局时,应适当考虑使用同一种电源的器件尽量放在一起, 以便于将来的电源分隔。- ^5 p  b1 G% x1 f
  13. 用于阻抗匹配目的阻容器件的布局,要根据其属性合理布置。
7 ^. x( w! {. v* j8 k) N0 a  串联匹配电阻的布局要靠近该信号的驱动端,距离一般不超过500mil。
6 q7 G; G/ A+ ]1 Z3 e  匹配电阻、电容的布局一定要分清信号的源端与终端,对于多负载的终端匹配一定要在信号的最远端匹配。
4 {, I! U( N3 M2 r6 {5 T9 ]  14. 布局完成后打印出装配图供原理图设计者检查器件封装的正确性,并且确认单板、背板和接插件的信号对应关系,经确认无误后方可开始布线。
8 m8 \; K- z% h. p" {8 |  C. 设置布线约束条件% V. g7 @7 K" ]8 m& `4 y
  1. 报告设计参数0 X  x/ Q; b; m# I3 k
  布局基本确定后,应用PCB设计工具的统计功能,报告网络数量,网络密度,平均管脚密度等基本参数,以便确定所需要的信号布线层数。% x. _/ p0 i! t* N& w
  信号层数的确定可参考以下经验数据* _3 y" I: V9 Z, Z8 V8 J
  Pin密度    信号层数     板层数
  X! S) l3 ?4 w0 o' f  1.0以上       2                  2' P5 x7 C1 U4 G  t
  0.6-1.0         2                 4& d9 j: z4 s2 `5 ]7 p
  0.4-0.6          4                67 ?' P2 ?& _: o9 G- B
  0.3-0.4           6                80 M& h4 g% F+ M2 O4 T' T& }
  0.2-0.3          8                125 B) r( |: V" e( q' O( x. P
  14- `* P6 Q; J. o0 x6 t2 S  Z: f* Z
  注:PIN密度的定义为: 板面积(平方英寸)/(板上管脚总数/14)) s/ E) H+ W/ q' u. L
  布线层数的具体确定还要考虑单板的可靠性要求,信号的工作速度,制造成本和交货期等因素。
2 ^( W; |6 f% d+ ]  1. 布线层设置 在高速数字电路设计中,电源与地层应尽量靠在一起,中间不安排布线。所有布线层都尽量靠近一平面层,优选地平面为走线隔离层。
# ~/ c2 B( J, Z0 V7 k" L+ O  为了减少层间信号的电磁干扰,相邻布线层的信号线走向应取垂直方向。
8 {0 D  z' ]% |- U  可以根据需要设计1--2个阻抗控制层,如果需要更多的阻抗控制层需要与PCB产家协商。阻抗控制层要按要求标注清楚。将单板上有阻抗控制要求的网络布线分布在阻抗控制层上。8 o) N0 |  Q) S! Q0 D6 }4 @+ v4 V

* W- I, \% g8 W 6 g* s1 C/ C  z2 U8 p- i0 l
  2. 线宽和线间距的设置 线宽和线间距的设置要考虑的因素
# v3 X/ T* y+ Y  A. 单板的密度。板的密度越高,倾向于使用更细的线宽和更窄的间隙。* M( q& g( f( J* F$ Y
  B. 信号的电流强度。当信号的平均电流较大时,应考虑布线宽度所能承载的的电流,线宽可参考以下数据:
$ ]/ D  p( A4 T, D7 Y2 @. x  PCB设计时铜箔厚度,走线宽度和电流的关系
* T: \" j) Y, D  p" ~% M, a  不同厚度,不同宽度的铜箔的载流量见下表:
9 U: x( U, _7 v  铜皮厚度35um 铜皮厚度50um 铜皮厚度70um" Z8 b* y9 U$ ^' D5 A
  铜皮Δt=10℃ 铜皮Δt=10℃ 铜皮Δt=10℃
. r% d. I7 @4 I1 R+ |* Z  注:3 Q& K( S5 @  L7 p2 a! T
  i. 用铜皮作导线通过大电流时,铜箔宽度的载流量应参考表中的数值降额50%去选择考虑。; a' ~& i7 `9 F$ v3 K" s
  ii. 在PCB设计加工中,常用OZ(盎司)作为铜皮厚度的单位,1 OZ铜厚的定义为1 平方英尺面积内铜箔的重量为一盎,对应的物理厚度为35um;2OZ铜厚为70um。3 j. D' `) U& W4 ?+ \
  C. 电路工作电压:线间距的设置应考虑其介电强度。
: {# e  t7 U. B. ?- D5 y  D. 可靠性要求。可靠性要求高时,倾向于使用较宽的布线和较大的间距。
' c0 v5 R- K6 ^0 D3 j  E. PCB加工技术限制
* A+ M" e$ d- b  国内国际先进水平
. V2 V9 {) s& T& y  推荐使用最小线宽/间距 6mil/6mil 4mil/4mil. u: m1 T8 x6 k  H# G6 I
  极限最小线宽/间距 4mil/6mil 2mil/2mil: P: q* K; h1 e
  1. 孔的设置 过线孔- z  }) K9 a: ]7 U8 s$ Q) K" ?+ o% D
  制成板的最小孔径定义取决于板厚度,板厚孔径比应小于 5--8。$ t& q' v  d. ^- p7 l; [+ j# {
  孔径优选系列如下:, j+ }9 ]* G! m! b  k
  孔径: 24mil 20mil 16mil 12mil 8mil) i3 N( A; I: u0 B
  焊盘直径: 40mil 35mil 28mil 25mil 20mil% B* b# y; d+ l* z0 O9 V. V, \
  内层热焊盘尺寸: 50mil 45mil 40mil 35mil 30mil" s% T& {- C8 P% F; ~; M1 X% y
  板厚度与最小孔径的关系:/ A. a6 R+ r  T6 ~
  板厚: 3.0mm 2.5mm 2.0mm 1.6mm 1.0mm
" D8 j8 i+ }  y  最小孔径: 24mil 20mil 16mil 12mil 8mil: d, D( Y( k* D4 K4 t) N
  盲孔和埋孔
$ _* {+ o! Q1 X8 {/ d  盲孔是连接表层和内层而不贯通整板的导通孔,埋孔是连接内层之间而在成' p" ]# A* h8 w. a: u0 w) o
  品板表层不可见的导通孔,这两类过孔尺寸设置可参考过线孔。
. r% _! u6 `7 A! m0 L: ?9 i8 E  应用盲孔和埋孔设计时应对PCB加工流程有充分的认识,避免给PCB加工带+ s/ i/ s) h+ {. P" q2 Q; w( e
  来不必要的问题,必要时要与PCB供应商协商。  X- i. Z( c( Y; ^1 U* G4 c' X
  测试孔
0 i7 C2 l. ~1 g& q4 s- f0 m% F  测试孔是指用于ICT测试目的的过孔,可以兼做导通孔,原则上孔径不限,焊盘直径应不小于25mil,测试孔之间中心距不小于50mil。. S& @; L' Y0 ]( P4 |; {
  不推荐用元件焊接孔作为测试孔。1 H' X# k) B, @5 l. I
  2. 特殊布线区间的设定 特殊布线区间是指单板上某些特殊区域需要用到不同于一般设置的布线参数,如某些高密度器件需要用到较细的线宽、较小的间距和较小的过孔等,或某些网络的布线参数的调整等,需要在布线前加以确认和设置。5 E% F: ]2 M2 d8 z( z- l
  3. 定义和分割平面层 A. 平面层一般用于电路的电源和地层(参考层),由于电路中可能用到不同的电源和地层,需要对电源层和地层进行分隔,其分隔宽度要考虑不同电源之间的电位差,电位差大于12V时,分隔宽度为50mil,反之,可选20--25mil 。% E1 U! [  D7 a, a4 }
  B. 平面分隔要考虑高速信号回流路径的完整性。
% {  R4 B5 N' u/ n  C. 当由于高速信号的回流路径遭到破坏时,应当在其他布线层给予补尝。例如可用接地的铜箔将该信号网络包围,以提供信号的地回路。: T5 I+ s" y2 C8 e8 e
  B. 布线前仿真(布局评估,待扩充)/ r! g- `7 f, a1 k
  C. 布线
* O% N# f5 T7 q  1. 布线优先次序 关键信号线优先:电源、摸拟小信号、高速信号、时钟信号和同步信号等关键信号优先布线
3 Z) f# j& X5 u  密度优先原则:从单板上连接关系最复杂的器件着手布线。从单板上连线最密集的区域开始布线。
# q& T1 u6 Z+ x) j( s$ ?+ @0 u+ J2 V/ z) _  2. 自动布线 在布线质量满足设计要求的情况下,可使用自动布线器以提高工作效率,在自动布线前应完成以下准备工作:" D- a! T' A( F# {, l' H+ ]
  自动布线控制文件(do file)
7 p* ^" G0 l/ B* [4 A$ I  为了更好地控制布线质量,一般在运行前要详细定义布线规则,这些规则可以在软件的图形界面内进行定义,但软件提供了更好的控制方法,即针对设计情况,写出自动布线控制文件(do file),软件在该文件控制下运行。
7 K" g( Q: q" o5 z# G2 l  3. 尽量为时钟信号、高频信号、敏感信号等关键信号提供专门的布线层,并保证其最小的回路面积。必要时应采取手工优先布线、屏蔽和加大安全间距等方法。保证信号质量。 4. 电源层和地层之间的EMC环境较差,应避免布置对干扰敏感的信号。 5. 有阻抗控制要求的网络应布置在阻抗控制层上。 6. 进行PCB设计时应该遵循的规则 1) 地线回路规则: 环路最小规则,即信号线与其回路构成的环面积要尽可能小,环面积越小,对外的辐射越少,接收外界的干扰也越小。针对这一规则,在地平面分割时,要考虑到地平面与重要信号走线的分布,防止由于地平面开槽等带来的问题;在双层板设计中,在为电源留下足够空间的情况下,应该将留下的部分用参考地填充,且增加一些必要的孔,将双面地信号有效连接起来,对一些关键信号尽量采用地线隔离,对一些频率较高的设计,需特别考虑其地平面信号回路问题,建议采用多层板为宜。
' z  a* [+ t9 m  2) 窜扰控制$ X: N5 Y" P: @+ `/ q
  串扰(CrossTalk)是指PCB上不同网络之间因较长的平行布线引起的相互干扰,主要是由于平行线间的分布电容和分布电感的作用。克服串扰的主要措施是:/ ~: k: d# G0 `' U& |
  加大平行布线的间距,遵循3W规则。
. [: ?; H/ }; M; G4 q% U/ _  在平行线间插入接地的隔离线。, U0 x* u. Y/ S2 F9 q7 }
  减小布线层与地平面的距离。
5 Z2 I8 v" b( {4 x  3) 屏蔽保护; p& y4 X3 q  n! X, G5 P
  对应地线回路规则,实际上也是为了尽量减小信号的回路面积,多见于一些比较重要的信号,如时钟信号,同步信号;对一些特别重要,频率特别高的信号,应该考虑采用铜轴电缆屏蔽结构设计,即将所布的线上下左右用地线隔离,而且还要考虑好如何有效的让屏蔽地与实际地平面有效结合。% A, f. s5 Q9 Q: z' o  e) `
  4) 走线的方向控制规则:( I0 l, M9 h; L+ A6 O3 A) A
  即相邻层的走线方向成正交结构。避免将不同的信号线在相邻层走成同一方向,以减少不必要的层间窜扰;当由于板结构限制(如某些背板)难以避免出现该情况,特别是信号速率较高时,应考虑用地平面隔离各布线层,用地信号线隔离各信号线。
" E: H9 ]0 n: G( ?; j  5) 走线的开环检查规则:
" X: C! |- a4 S- @) Q5 g  一般不允许出现一端浮空的布线(Dangling Line),! c6 p1 ]' v+ H0 s4 Q- e' f) X
  主要是为了避免产生"天线效应",减少不必要的干扰辐射和接受,否则可能带来不可预知的结果。
/ o* u0 x) @9 b. ]+ h0 b  6) 阻抗匹配检查规则:
. r) Y9 e, ~& U  同一网络的布线宽度应保持一致,线宽的变化会造成线路特性阻抗的不均匀,当传输的速度较高时会产生反射,在设计中应该尽量避免这种情况。在某些条件下,如接插件引出线,BGA封装的引出线类似的结构时,可能无法避免线宽的变化,应该尽量减少中间不一致部分的有效长度。4 y: j1 l6 E" _! Z$ f
  7) 走线终结网络规则:2 {3 M2 n+ b2 T6 W
  在高速数字电路中,当PCB布线的延迟时间大于信号上升时间(或下降时间)的1/4时,该布线即可以看成传输线,为了保证信号的输入和输出阻抗与传输线的阻抗正确匹配,可以采用多种形式的匹配方法,所选择的匹配方法与网络的连接方式和布线的拓朴结构有关。0 X1 p' t+ \, S. w
  A. 对于点对点(一个输出对应一个输入)连接,可以选择始端串联匹配或终端并联匹配。前者结构简单,成本低,但延迟较大。后者匹配效果好,但结构复杂,成本较高。
9 i3 E$ ~' }: r( P( f8 b  B. 对于点对多点(一个输出对应多个输出)连接,当网络的拓朴结构为菊花链时,应选择终端并联匹配。当网络为星型结构时,可以参考点对点结构。
9 p$ L' t* N$ {  星形和菊花链为两种基本的拓扑结构, 其他结构可看成基本结构的变形, 可采取一些灵活措施进行匹配。在实际操作中要兼顾成本、功耗和性能等因素,一般不追求完全匹配,只要将失配引起的反射等干扰限制在可接受的范围即可。
! R8 F& g4 [0 V% ^- g9 P- x6 M# {, h

* ^/ [, Q, i4 T2 u  8) 走线闭环检查规则:% f2 [9 j- C2 G
  防止信号线在不同层间形成自环。在多层板设计中容易发生此类问题,自环将引起辐射干扰。
( H0 c* b  D5 A9 T  9) 走线的分枝长度控制规则:
' {( z, c2 G( H; D+ @0 ^3 F' x  f1 x! U, q& l
  尽量控制分枝的长度,一般的要求是Tdelay

评分

参与人数 1贡献 +10 收起 理由
dali618 + 10 赞一个!

查看全部评分

分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏5 支持!支持! 反对!反对!

0

主题

18

帖子

436

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
436
13#
发表于 2015-11-15 18:13 | 只看该作者
不错

47

主题

383

帖子

881

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
881
12#
发表于 2013-8-5 13:05 | 只看该作者
以后再看吧

3

主题

22

帖子

599

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
599
11#
发表于 2013-6-6 15:26 | 只看该作者
看了后,怎么头大了。我觉得实践和理论相结合。

0

主题

57

帖子

628

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
628
10#
发表于 2013-6-6 08:46 | 只看该作者
感觉没有写完额

1

主题

9

帖子

49

积分

二级会员(20)

Rank: 2Rank: 2

积分
49
9#
发表于 2013-6-5 18:13 | 只看该作者
谢谢

15

主题

121

帖子

511

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
511
8#
发表于 2013-2-20 18:30 | 只看该作者
感谢分享,还有没有下集。

16

主题

67

帖子

333

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
333
7#
发表于 2013-2-20 10:51 | 只看该作者
不能死搬硬套

1

主题

52

帖子

4042

积分

五级会员(50)

Rank: 5

积分
4042
6#
发表于 2013-2-19 23:06 | 只看该作者
谢谢分享,实践永远重要。

29

主题

1008

帖子

7438

积分

六级会员(60)

Rank: 6Rank: 6

积分
7438
5#
发表于 2013-2-19 16:07 | 只看该作者
恩,等找工作时再翻来看看

39

主题

270

帖子

6707

积分

五级会员(50)

Rank: 5

积分
6707
4#
 楼主| 发表于 2013-2-19 16:04 | 只看该作者
dali618 发表于 2013-2-19 15:55 # c' y5 L& L3 U+ h6 Z+ Q
只看了一半没有看了
9 X$ l" [, A0 n/ u
初学者看蛮好的

29

主题

1008

帖子

7438

积分

六级会员(60)

Rank: 6Rank: 6

积分
7438
3#
发表于 2013-2-19 15:55 | 只看该作者
只看了一半没有看了

39

主题

270

帖子

6707

积分

五级会员(50)

Rank: 5

积分
6707
2#
 楼主| 发表于 2013-2-19 15:43 | 只看该作者
看到了,分享一下!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-9-20 08:07 , Processed in 0.066382 second(s), 35 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表