找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 1146|回复: 2
打印 上一主题 下一主题

倒PCB出现的问题 是ALLEGRO 15.7

[复制链接]

6

主题

8

帖子

-8950

积分

未知游客(0)

积分
-8950
跳转到指定楼层
1#
发表于 2010-6-21 22:58 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
本帖最后由 笨笨牛 于 2010-6-21 23:06 编辑 3 q7 b& q: }2 _
* z$ ~4 K1 d- s
#1   ERROR(302) Device library error detected.
8 B& d2 w6 `  `  I4 T$ PDevice problem 'RES_1K[_0_R0603_0'. Package property error: 'PART_NAME'='RES_1K?_0'. Illegal character(s) present in name or value..( J; V$ L  G# N5 r! q* \8 C9 z6 I
Device 'RES_1K[_0_R0603_0' has library errors. Unable to transfer to Allegro.
# N! [: K; q/ F$ m8 y; y# z请问高手这是什么意思?谢谢  又要怎么解决呢?
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

25

主题

478

帖子

4346

积分

五级会员(50)

Rank: 5

积分
4346
2#
发表于 2010-6-22 12:17 | 只看该作者
貌似有非法字符,Allegro不支持空格。1 E' K, W/ ?5 ^! x/ R8 m4 [) l. x  f% o; i4 p
你改一下试试。

6

主题

8

帖子

-8950

积分

未知游客(0)

积分
-8950
3#
 楼主| 发表于 2010-7-8 22:49 | 只看该作者
回复 2# ebaozi
8 {9 m* E5 {3 h" I+ e* j) U
2 E3 z* S6 G9 Z0 }! N3 T. [8 {  r0 ?9 N# ^( `( x! l
    谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-10-23 19:22 , Processed in 0.056494 second(s), 33 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表