找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 1165|回复: 3
打印 上一主题 下一主题

请教cadence原理图导入到board的报错,新手第一画

[复制链接]

2

主题

5

帖子

-8973

积分

未知游客(0)

积分
-8973
跳转到指定楼层
1#
发表于 2010-5-5 14:57 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
本帖最后由 nautydcf 于 2010-5-5 14:58 编辑
4 \8 L, S( h, ^+ j# s4 m6 k5 [. Y# b
请教各位大虾,第一次用cadence做设计,原理图画好了,pacage自认为也弄好的,原理图export physical以后再board里面import logic后board里什么也没有,还出现了错误,错误如下:
" @9 ?% s6 k4 Q3 `  f% |------ Directives ------, T% X& t! ~) N# B# Q) P7 l0 p
RIPUP_ETCH FALSE;
( r5 a( I# p) [) C8 iRIPUP_SYMBOLS ALWAYS;7 Y4 f: q* k% }  w
MISSING SYMBOL AS ERROR FALSE;
& l# t( b! C2 H9 w& i) mSCHEMATIC_DIRECTORY 'F:/design/station speaker/board';0 i$ |4 A( x5 h) X" C0 n$ l/ X2 j
BOARD_DIRECTORY '';
' ^* o4 Q# K. ~6 J* ]! TOLD_BOARD_NAME 'F:/design/station speaker/board/board.brd';
* s, ]" t# \" y5 ?0 oNEW_BOARD_NAME 'F:/design/station speaker/board/board.brd';
3 J, d. y" u& Y- L% d% T) n0 J9 ?CmdLine: netrev -$ -5 -i F:/design/station speaker/board -l -y 1 F:/design/station speaker/board/#Taaaaaa03732.tmp
7 B* e7 S% f7 K9 j% s; K------ Preparing to read pst files ------- \0 [" @0 S, b' e; p6 R
2 P, |( T5 A8 m  D2 ]$ q' {
#1   ERROR(24) File not found
5 }+ g5 z" i7 b9 Y     Packager files not found: o( A8 X" i4 G: i1 D, k8 s
#2   ERROR(102) Run stopped because errors were detected
; ~$ v" R; D( x9 D! o0 hnetrev run on May 5 14:46:58 2010/ a3 @' E3 N( H6 J
   COMPILE 'logic'- Y( L& i8 b# j& [2 B+ S$ l  J$ D
   CHECK_PIN_NAMES OFF+ }$ b5 s4 Z7 K$ R2 d4 Z
   CROSS_REFERENCE OFF
- [5 c, j- S9 G3 j# h$ ?/ j$ n   FEEDBACK OFF
1 U4 }0 W' ^$ `3 q# K   INCREMENTAL OFF
( |% x4 @. r# y' ~* a0 w   INTERFACE_TYPE PHYSICAL
8 k! _* |- n# C4 t# Q! t3 q   MAX_ERRORS 5005 c. D+ R8 y7 h
   MERGE_MINIMUM 5
% Z! m+ O, A9 b" i. P4 y/ c   NET_NAME_CHARS '#%&()*+-./:=>?@[]^_`|'
+ _7 P% B, F. t3 K9 [   NET_NAME_LENGTH 24
4 G( i  h0 u/ E/ _# R+ i5 u7 A5 a   OVERSIGHTS ON( z& }, g, \5 i  F
   REPLACE_CHECK OFF
2 b9 E0 Q2 l  q0 i   SINGLE_NODE_NETS ON
$ c5 h8 T# d3 _( f9 ?   SPLIT_MINIMUM 0* ]" u4 b1 R$ r7 D
   SUPPRESS   20& ]! r/ s# d" o. Q: j
   WARNINGS ON9 ~: u) J  o# _( T( L
  2 errors detected& P+ b% l2 [0 ~% x7 z$ Z9 L  e
No oversight detected( V8 p2 }4 X! b/ G! m
No warning detected8 k5 x, I0 o' X6 W
cpu time      0:00:42  d( m$ {. Y6 A; N; N- ], Z
elapsed time  0:00:00
2 H4 G1 z2 w$ D+ T" U
7 ^) I% m" y. _* t& w/ j请大家帮忙,我不知道为啥了
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

6

主题

68

帖子

594

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
594
2#
发表于 2010-5-6 13:09 | 只看该作者
Packager files not found
# z$ E  P% e: x/ n9 ]1 q你在package和板子对好库没?

11

主题

53

帖子

114

积分

二级会员(20)

Rank: 2Rank: 2

积分
114
3#
发表于 2010-5-6 13:17 | 只看该作者
封装没找到,在allegro里封装名称要对应且要设置好路径

2

主题

5

帖子

-8973

积分

未知游客(0)

积分
-8973
4#
 楼主| 发表于 2010-5-6 21:54 | 只看该作者
回复 3# chenweicn
, ]/ m  k1 f7 a( P' W
5 d" {( J* s/ ^8 _, ?( Y) ]" h8 L- D; y- t0 ^
    恩,可以了,谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-10-22 11:02 , Processed in 0.057023 second(s), 33 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表