EDA365电子工程师网

标题: 初识GEL [打印本页]

作者: Laden    时间: 2015-3-10 16:17
标题: 初识GEL
和大多数电子小白一样,都是先学51然后cm3 ,现在转战TI C6000,开发DSP最大的不同就是就开发流程来讲 可能就是要编写GEL和CMD这时开发单片机 所没有的在下也是初知乍练还望赐教:
GEL文件中主要是对芯片的初始化
下面我们来看下广州创龙的C6748 gel文件源码
在下抛砖引玉,望老鸟赐教,和大家一起学习C6000
/****************************************************************************/7 l0 h# P  U( v& Q
/* */
" Z, t) B/ Y: q  z+ f" K/* General Extension Language */4 r" d6 {/ s5 z( _3 d8 B. g! k8 b
/* 通用扩展语言文件 */& H9 t( G0 O' |) B$ p4 Q. C
/* */
* k/ U& _5 ?' |7 d+ s/* 2014年08月26日 */
# _6 V$ w% X4 L/* */
- Q: W: G8 U, D" Y" y/****************************************************************************/$ x* Y- {. H6 n/ {9 N6 q
#define PLL0_BASE 0x01C11000 /*SYSTEM PLL BASE ADDRESS*/
2 v* E' C3 O! e: l4 {2 z; u& x#define PLL0_PID *(unsigned int*) (PLL0_BASE + 0x00) /*PID*/1 Q2 n  @! W) s. I8 X0 Y8 e
#define PLL0_RSTYPE *(unsigned int*) (PLL0_BASE + 0xE4) /*Reset Type status Reg*/
. G9 N5 I3 B/ K5 _#define PLL0_PLLCTL *(unsigned int*) (PLL0_BASE + 0x100) /*PLL Control Register*/9 M4 _: D4 x2 k) f6 ~
#define PLL0_OCSEL *(unsigned int*) (PLL0_BASE + 0x104) /*OBSCLK Select Register*/
6 A) A0 ]. U8 t) C! y8 v0 g& m% `#define PLL0_SECCTL *(unsigned int*) (PLL0_BASE + 0x108) /*PLL Secondary Control Register*/( e+ I) H, f3 @4 v2 Q. `; |- F
#define PLL0_PLLM *(unsigned int*) (PLL0_BASE + 0x110) /*PLL Multiplier*/. v2 v& h$ M5 Y' I; n( H7 v- z
#define PLL0_PREDIV *(unsigned int*) (PLL0_BASE + 0x114) /*Pre divider*/
4 k6 b3 R- W) X. a/ O7 K#define PLL0_PLLDIV1 *(unsigned int*) (PLL0_BASE + 0x118) /*Divider-1*/3 g" u8 G/ Z, O' F( j
#define PLL0_PLLDIV2 *(unsigned int*) (PLL0_BASE + 0x11C) /*Divider-2*/, d3 W3 w4 M! O3 z* _
#define PLL0_PLLDIV3 *(unsigned int*) (PLL0_BASE + 0x120) /*Divider-3*/
- M% m4 Q. N4 a9 s" o( j/ C* E#define PLL0_OSCDIV1 *(unsigned int*) (PLL0_BASE + 0x124) /*Oscilator Divider*/" [; [5 o; Y+ l' @" {
#define PLL0_POSTDIV *(unsigned int*) (PLL0_BASE + 0x128) /*Post Divider*/
& I4 B0 c: p4 r5 b0 f#define PLL0_BPDIV *(unsigned int*) (PLL0_BASE + 0x12C) /*Bypass Divider*/. i1 ~" J: h7 w8 `2 y+ p! v0 M3 P# A
#define PLL0_WAKEUP *(unsigned int*) (PLL0_BASE + 0x130) /*Wakeup Reg*/
5 I& O% Z$ p/ j! R8 I' y  Y#define PLL0_PLLCMD *(unsigned int*) (PLL0_BASE + 0x138) /*Command Reg*/
6 G/ p1 ]! P" p. W#define PLL0_PLLSTAT *(unsigned int*) (PLL0_BASE + 0x13C) /*Status Reg*/
- ]  }  Z3 D1 _0 j7 \+ g#define PLL0_ALNCTL *(unsigned int*) (PLL0_BASE + 0x140) /*Clock Align Control Reg*/
( f9 u- |  f6 x7 O% T/ a#define PLL0_DCHANGE *(unsigned int*) (PLL0_BASE + 0x144) /*PLLDIV Ratio Chnage status*/
5 I& D' s; t  d" B& l, C#define PLL0_CKEN *(unsigned int*) (PLL0_BASE + 0x148) /*Clock Enable Reg*/
6 O; I+ p$ u2 s  ]; J#define PLL0_CKSTAT *(unsigned int*) (PLL0_BASE + 0x14C) /*Clock Status Reg*/
2 m2 P* H/ B. W& ^#define PLL0_SYSTAT *(unsigned int*) (PLL0_BASE + 0x150) /*Sysclk status reg*/
2 C. f$ }2 I; J6 L( c9 ^#define PLL0_PLLDIV4 *(unsigned int*) (PLL0_BASE + 0x160) /*Divider 4*/
7 c9 |) b8 E+ j#define PLL0_PLLDIV5 *(unsigned int*) (PLL0_BASE + 0x164) /*Divider 5*/
5 |( e, X6 H# H- T#define PLL0_PLLDIV6 *(unsigned int*) (PLL0_BASE + 0x168) /*Divider 6*/6 Z9 d+ T8 J3 t7 g
#define PLL0_PLLDIV7 *(unsigned int*) (PLL0_BASE + 0x16C) /*Divider 7*/
/ R$ P  |1 p7 Y#define PLL0_PLLDIV8 *(unsigned int*) (PLL0_BASE + 0x170) /*Divider 8*/5 Y, Y! |6 I3 H0 k
#define PLL0_PLLDIV9 *(unsigned int*) (PLL0_BASE + 0x174) /*Divider 9*/
; S  n3 B; i6 F4 K* b% Y) O5 R2 V" p0 I#define PLL0_PLLDIV10 *(unsigned int*) (PLL0_BASE + 0x178) /*Divider 10*/
4 |4 {- _4 c* |1 a" P#define PLL0_PLLDIV11 *(unsigned int*) (PLL0_BASE + 0x17C) /*Divider 11*/$ J: O8 \; S# v; k
#define PLL0_PLLDIV12 *(unsigned int*) (PLL0_BASE + 0x180) /*Divider 12*/& a. [1 ~" Y1 g& y
#define PLL0_PLLDIV13 *(unsigned int*) (PLL0_BASE + 0x184) /*Divider 13*/
# ?5 P' s& F( |9 ~) a; N#define PLL0_PLLDIV14 *(unsigned int*) (PLL0_BASE + 0x188) /*Divider 14*/
% ]. h* G) u- a8 b#define PLL0_PLLDIV15 *(unsigned int*) (PLL0_BASE + 0x18C) /*Divider 15*/
6 Y( W# A. z* h8 {9 I' Y  j#define PLL0_PLLDIV16 *(unsigned int*) (PLL0_BASE + 0x190) /*Divider 16*/
#define PLL1_BASE 0x01E1A000 /*SYSTEM PLL1 BASE ADDRESS*/8 ~$ i/ d. V  C: d- q7 l/ p$ \" C1 v
#define PLL1_PID *(unsigned int*) (PLL1_BASE + 0x00) /*PID*/* d8 R9 d/ W$ P" m+ m  A
#define PLL1_RSTYPE *(unsigned int*) (PLL1_BASE + 0xE4) /*Reset Type status Reg*/
+ y/ d8 b' G. k& y; e8 n$ C#define PLL1_PLLCTL *(unsigned int*) (PLL1_BASE + 0x100) /*PLL Control Register*/7 V* u1 X- \4 `- C
#define PLL1_OCSEL *(unsigned int*) (PLL1_BASE + 0x104) /*OBSCLK Select Register*/, p3 e& }* X# c1 v
#define PLL1_SECCTL *(unsigned int*) (PLL1_BASE + 0x108) /*PLL Secondary Control Register*/8 M4 b8 h& ^! ?5 I% t  w( {( X4 J
#define PLL1_PLLM *(unsigned int*) (PLL1_BASE + 0x110) /*PLL Multiplier*/
* S( s" g" |$ W* G# j0 N; E% G#define PLL1_PREDIV *(unsigned int*) (PLL1_BASE + 0x114) /*Pre divider*/+ }- V/ P. f9 Z$ q: h3 t7 Y
#define PLL1_PLLDIV1 *(unsigned int*) (PLL1_BASE + 0x118) /*Divider-1*/+ D2 }# g+ D' u9 {
#define PLL1_PLLDIV2 *(unsigned int*) (PLL1_BASE + 0x11C) /*Divider-2*/
) z% s$ l  m" w% \, _7 Y#define PLL1_PLLDIV3 *(unsigned int*) (PLL1_BASE + 0x120) /*Divider-3*/& \; b/ J+ y4 ~
#define PLL1_OSCDIV1 *(unsigned int*) (PLL1_BASE + 0x124) /*Oscilator Divider*/
- `5 G  a3 h: r# _6 b5 H#define PLL1_POSTDIV *(unsigned int*) (PLL1_BASE + 0x128) /*Post Divider*/
0 j! B; j; Y0 d" K1 m#define PLL1_BPDIV *(unsigned int*) (PLL1_BASE + 0x12C) /*Bypass Divider*/
: r' E) V) N* I7 o9 g#define PLL1_WAKEUP *(unsigned int*) (PLL1_BASE + 0x130) /*Wakeup Reg*/& X/ C5 F* X% ~9 Q1 q) X- ~( g
#define PLL1_PLLCMD *(unsigned int*) (PLL1_BASE + 0x138) /*Command Reg*/6 u1 U* d: D8 U7 x: v
#define PLL1_PLLSTAT *(unsigned int*) (PLL1_BASE + 0x13C) /*Status Reg*/  I; L* O) J: ~+ @! S: r2 @
#define PLL1_ALNCTL *(unsigned int*) (PLL1_BASE + 0x140) /*Clock Align Control Reg*/
, _/ q5 b: r/ q$ K# T4 B' b#define PLL1_DCHANGE *(unsigned int*) (PLL1_BASE + 0x144) /*PLLDIV Ratio Chnage status*/2 c6 E/ q  `- M2 W0 @" N
#define PLL1_CKEN *(unsigned int*) (PLL1_BASE + 0x148) /*Clock Enable Reg*/
  h& z1 |! b  H$ J9 D: x* P' O: R& y#define PLL1_CKSTAT *(unsigned int*) (PLL1_BASE + 0x14C) /*Clock Status Reg*/% R; S5 I! Z8 B& l% m$ c; o% A
#define PLL1_SYSTAT *(unsigned int*) (PLL1_BASE + 0x150) /*Sysclk status reg*/
" F  C; v  H0 z2 u#define PLL1_PLLDIV4 *(unsigned int*) (PLL1_BASE + 0x160) /*Divider 4*/+ ^" T) h. H; o1 X* p
#define PLL1_PLLDIV5 *(unsigned int*) (PLL1_BASE + 0x164) /*Divider 5*/5 L# [' O! l* T0 c
#define PLL1_PLLDIV6 *(unsigned int*) (PLL1_BASE + 0x168) /*Divider 6*/1 i0 o6 g8 r" K+ f5 s* `
#define PLL1_PLLDIV7 *(unsigned int*) (PLL1_BASE + 0x16C) /*Divider 7*/
6 ~4 C( o6 d( s- Z3 h#define PLL1_PLLDIV8 *(unsigned int*) (PLL1_BASE + 0x170) /*Divider 8*/, z# G4 c3 S" b& _" L: X
#define PLL1_PLLDIV9 *(unsigned int*) (PLL1_BASE + 0x174) /*Divider 9*/
' L1 |7 Q  Y- K$ |( Y#define PLL1_PLLDIV10 *(unsigned int*) (PLL1_BASE + 0x178) /*Divider 10*/
" }- q: M2 ]7 x  x& A3 [#define PLL1_PLLDIV11 *(unsigned int*) (PLL1_BASE + 0x17C) /*Divider 11*/# _, M# N8 A6 d) w' G& r
#define PLL1_PLLDIV12 *(unsigned int*) (PLL1_BASE + 0x180) /*Divider 12*/+ ^8 A, \2 q$ T0 t; H5 {% ]9 Z
#define PLL1_PLLDIV13 *(unsigned int*) (PLL1_BASE + 0x184) /*Divider 13*/
! Z, D- {! y) e0 `; }#define PLL1_PLLDIV14 *(unsigned int*) (PLL1_BASE + 0x188) /*Divider 14*/
9 h/ T, m4 Y3 f& X, G( p9 V2 f#define PLL1_PLLDIV15 *(unsigned int*) (PLL1_BASE + 0x18C) /*Divider 15*/
% K/ M+ j, s8 A: H0 d#define PLL1_PLLDIV16 *(unsigned int*) (PLL1_BASE + 0x190) /*Divider 16*/
/*PSC Module Related Registers*/! ~9 l7 `- G1 _7 x8 K/ ?
#define PSC0_BASE 0x01C10000
/ {6 |/ s* m' Y" c; s#define PSC1_BASE 0x01E27000
#define PSC0_MDCTL (PSC0_BASE+0xA00)5 {8 O" e" ?8 _7 Z# l& i8 w- b
#define PSC0_MDSTAT (PSC0_BASE+0x800)
  U, [2 Y$ v0 A#define PSC0_PTCMD *(unsigned int*) (PSC0_BASE + 0x120); g) l/ Y2 I: L+ C& \7 k
#define PSC0_PTSTAT *(unsigned int*) (PSC0_BASE + 0x128)
#define PSC1_MDCTL (PSC1_BASE+0xA00)+ }- J& }% \) s8 W  K; r
#define PSC1_MDSTAT (PSC1_BASE+0x800)
0 e+ T. `. E" S0 g7 ]/ D5 S#define PSC1_PTCMD *(unsigned int*) (PSC1_BASE + 0x120)
  s/ ]# I: |. a- m. l$ U1 `#define PSC1_PTSTAT *(unsigned int*) (PSC1_BASE + 0x128)
#define PSC_TIMEOUT 200 // This value can be optimized by the user
#define LPSC_EDMA_CC0 0
' _; @7 V0 H3 V6 n#define LPSC_EDMA_TC0 1
# \( ]  e  H. X1 d5 @8 s$ @#define LPSC_EDMA_TC1 2
4 A0 r$ h9 _4 B#define LPSC_EMIFA 3 /*PSC0*/5 Y$ a7 a0 I' O% P! x" J2 }
#define LPSC_SPI0 4 /*PSC0*/& [$ j( t  i2 Z" V7 x
#define LPSC_MMCSD0 5 /*PSC0*/
  O# C+ r; y$ F! j% o( d#define LPSC_ARM_AINTC 6+ m8 f' D, [* g+ y
#define LPSC_ARM_RAMROM 7 /*PSC0*/
5 G" }3 r5 \* n4 [! _! I, N) ?// LPSC #8 not used) h5 M( I8 [* G
#define LPSC_UART0 9 /*PSC0*/; \" y3 K4 K: T$ f7 R& s8 `
#define LPSC_SCR0 10
/ g) w; B: j8 F, {9 J8 h! O#define LPSC_SCR1 11* ]2 D! b7 p  U) ~/ R
#define LPSC_SCR2 12
# |" F, T+ f  T3 I( m  D2 g% J0 O#define LPSC_PRU 13 /*PSC0*/2 l  g" R" L6 J# z) K+ p9 Q
#define LPSC_ARM 14 /*PSC0*/( _4 F+ g3 x: c  y4 p
#define LPSC_DSP 15 /*PSC0*/
#define LPSC_EDMA_CC1 0
; _% A3 B6 S, A; M2 l2 T- r#define LPSC_USB20 1 /*PSC1*/8 Z+ j5 j6 _. N2 S* P4 H
#define LPSC_USB11 2 /*PSC1*/5 }( P3 U0 p3 [: c# X3 t/ {
#define LPSC_GPIO 3 /*PSC1*/7 F( P# R( ^& u* `  F% I) G/ d
#define LPSC_UHPI 4 /*PSC1*/8 q% K8 g2 @+ n& {  D+ Q: |
#define LPSC_EMAC 5 /*PSC1*/& y$ v1 }4 l1 q2 _' E
#define LPSC_DDR 6 /*PSC1*/: S6 M: d' y" H2 k+ n- I
#define LPSC_MCASP0 7 /*PSC1*/8 Y  `; p. n8 p' X, T; v
#define LPSC_SATA 8 /*PSC1*/
0 n, D+ Z6 |/ h3 U  d! m#define LPSC_VPIF 9 /*PSC1*/
( Q1 f, r  K- t9 x9 [#define LPSC_SPI1 10 /*PSC1*/
% w9 y/ S5 Q. a5 @#define LPSC_I2C1 11 /*PSC1*/$ _8 u+ P6 v2 j' y6 v% \8 i
#define LPSC_UART1 12 /*PSC1*/
9 c) e" v1 H$ i# Z#define LPSC_UART2 13 /*PSC1*/& w8 R$ Q% f8 n/ q, [
#define LPSC_MCBSP0 14 /*PSC1*/
9 H* q  w0 T% u" ~#define LPSC_MCBSP1 15 /*PSC1*/- a9 x# J" f* ~$ X" o! a- P# W
#define LPSC_LCDC 16 /*PSC1*/
4 R4 b. |/ v; v, V#define LPSC_EPWM 17 /*PSC1*/$ N& h$ m( j" ^) l
#define LPSC_MMCSD1 18
5 v6 ~8 h6 X& _" h. A2 M7 V6 e#define LPSC_UPP 19
3 ~! Y  i, o- t$ d9 f#define LPSC_ECAP 206 Y' F2 `6 C4 M4 R9 c
#define LPSC_EDMA_TC2 21; N6 l# v+ |7 @# C' N6 l
// LPSC #22-23 not used6 S: W% |( i+ O3 F) n
#define LPSC_SCR_F0 24
% X% w4 I9 q7 {8 l6 d#define LPSC_SCR_F1 25
1 Y1 i/ S* |7 Z#define LPSC_SCR_F2 26
6 F. v2 W0 N. a' [$ ?#define LPSC_SCR_F6 277 I2 G( H7 r1 v; z" U* ~( F8 v
#define LPSC_SCR_F7 287 O6 b$ g- X8 D
#define LPSC_SCR_F8 29
/ J- \" M& @; m% F- T- ?/ f#define LPSC_BR_F7 30
5 C/ z, i: L5 ]$ e#define LPSC_SHARED_RAM 31
/*DDR MMR Declaration*/( @( W9 Z7 y& E5 j9 A1 V* L3 O& X! {
#define VTPIO_CTL *(unsigned int*)(0x01E2C000) // VTPIO_CTL Register8 g/ \( B5 b9 A6 J2 x# ~
#define EMIFDDR_SDRAM_CFG 0xB0000000
, [( w/ m$ [  N#define EMIFDDR_REVID *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x00) //EMIF Module ID and Revision Register$ e8 @: _& m3 r' l+ A
#define EMIFDDR_SDRSTAT *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x04) //SDRAM Status Register
: P3 F) E6 ^- Q& W- A4 c1 Z#define EMIFDDR_SDCR *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x08) //SDRAM Bank Config Register# D' u8 \) i: s0 r6 [! W0 t5 p
#define EMIFDDR_SDRCR *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x0C) //SDRAM Refresh Control Register
) {9 T6 G# s$ I# \( L8 \7 u#define EMIFDDR_SDTIMR1 *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x10) //SDRAM Timing Register1( ~6 |) f! f6 Y1 B0 }
#define EMIFDDR_SDTIMR2 *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x14) //SDRAM Timing Register2
1 H$ h# ~5 i: ?- E; c#define EMIFDDR_SDCR2 *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x1C) //SDRAM Config Register28 w# v9 ~& U3 X' T
#define EMIFDDR_PBBPR *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x20) //VBUSM Burst Priority Register
# A* D1 X: L" S6 E) X#define EMIFDDR_VBUSMCFG1 *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x28) //VBUSM config Value1 Register
5 \; E4 E1 _1 r. |#define EMIFDDR_VBUSMCFG2 *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x2C) //VBUSM config Value2 Register  H, b& w  j6 j  N  N3 k9 W* I
#define EMIFDDR_IRR *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0xC0) //Interrupt Raw Register% z: a9 r+ [# n3 y
#define EMIFDDR_IMR *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0xC4) //Interrupt Masked Register( b* @5 w3 m8 V& e6 M
#define EMIFDDR_IMSR *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0xC8) //Interrupt Mask Set Register. b. v+ l, Q6 [
#define EMIFDDR_IMCR *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0xCC) //Interrupt Mask Clear Register
- ]* G4 o: F+ r$ o  g( _/ N- m# i9 |#define DDRPHYREV *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0xE0) //DDR PHY ID and Revision Register
% w" L, T- M/ M& D: h& h#define DRPYC1R *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0xE4) //DDR PHY Control 1 Register
#define DDR2 0 // Do not change this value
, h- J* ]7 d9 n1 @9 E#define MDDR 1 // Do not change this value
5 l' b! r& K1 J  N, W: P5 X! m& g) @#define VTP_TIMEOUT 200 // This value can be optimized by the user
/ I+ [; f7 J( U0 R) {#define DDR_DEBUG 0 // Set this to "1" to program DDR with more timing slack
#define EMIFDDR_BASE_ADDR 0xC00000000 ^3 t- G0 t/ T2 i, I& i2 j+ Z" V
#define EMIFA_BASE_ADDR 0x40000000
  T/ t3 k) X# B& \3 B6 K' C$ j#define EMIFA_CS2_BASE_ADDR 0x60000000" P% @" q, M: L: {& U6 `& e
#define EMIFA_CS3_BASE_ADDR 0x62000000! C) ^# o4 g5 o9 V9 C; n7 B
#define EMIFA_CS4_BASE_ADDR 0x640000006 `6 y8 R9 R, u
#define EMIFA_CS5_BASE_ADDR 0x66000000
/*EMIF2.5 MMR Declaration*/; f* U$ C6 m; s$ H6 F) u; }
#define EMIFA 0x68000000
#define EMIFA_AWAITCFG *(unsigned int*)(EMIFA + 0x04)% U+ |- Q( Z3 M1 a6 J0 J( ^) q
#define EMIFA_SDCFG *(unsigned int*)(EMIFA + 0x08)3 f: ~& F* g1 f7 ?0 B: E( Y* e* ^
#define EMIFA_SDREF *(unsigned int*)(EMIFA + 0x0C)+ k. ~5 L' G& \( y1 r9 A/ R( Z
#define EMIFA_ACFG2 *(unsigned int*)(EMIFA + 0x10) //Async Bank1 Config Register
. z. L/ v# a  ?2 A#define EMIFA_ACFG3 *(unsigned int*)(EMIFA + 0x14) //Async Bank2 Config Register6 j$ x" v. f  S/ |! R( ~) O6 k9 i
#define EMIFA_ACFG4 *(unsigned int*)(EMIFA + 0x18) //Async Bank3 Config Register
9 O3 J8 R- p8 o* V% L; E#define EMIFA_ACFG5 *(unsigned int*)(EMIFA + 0x1C) //Async Bank4 Config Register
+ N- g( G2 @( B1 l$ [; y5 _#define EMIFA_SDTIM *(unsigned int*)(EMIFA + 0x20) //SDRAM Timing Register9 ^, Y0 u! D. L3 W+ P' K/ E
#define EMIFA_SRPD *(unsigned int*)(EMIFA + 0x3C)
3 {! X4 J* l+ C  E9 Z#define EMIFA_NANDFCR *(unsigned int*)(EMIFA + 0x60)
/*GPIO MMR*/
7 Y$ J9 z6 {6 ~7 F2 h#define GPIO_REG_BASE (0x01E26000)
- {1 K' U# \* x& E#define GPIO_BANK_OFFSET (0x28)
7 M5 u' ]) I5 E( P/ Z#define GPIO_DAT_OFFSET (0x04)& O3 ], q4 k6 v0 c, E; b
#define GPIO_SET_OFFSET (0x08)/ {: {7 f6 k5 T4 @( y* @  P
#define GPIO_CLR_OFFSET (0x0C)
- ~  f9 f: ]8 M( n#define GPIO_BINTEN *(unsigned int*)(GPIO_REG_BASE + 0x08)
: c7 I8 [) A  v1 i#define GPIO_BANK01_BASE (GPIO_REG_BASE + 0x10)6 {5 H* B# H7 `: y& G% i% K! O
#define GPIO_BANK23_BASE (GPIO_BANK01_BASE + GPIO_BANK_OFFSET)
! {5 O1 }7 ~* s! [; I+ e  c, @" ?#define GPIO_BANK45_BASE (GPIO_BANK23_BASE + GPIO_BANK_OFFSET)
0 ~* R+ D* D% m0 d* K* _#define GPIO_BANK67_BASE (GPIO_BANK45_BASE + GPIO_BANK_OFFSET)
, S8 f( w8 l' G! }#define GPIO_BANK8_BASE (GPIO_BANK67_BASE + GPIO_BANK_OFFSET)! s" O+ d6 R3 u4 q
#define GPIO_BANK23_DIR *(unsigned int*)(GPIO_BANK23_BASE)
# i+ r3 O4 B/ B) J5 v. g#define GPIO_BANK23_DAT *(unsigned int*)(GPIO_BANK23_BASE + GPIO_DAT_OFFSET)
4 P5 j" i8 `" Y+ u4 T#define GPIO_BANK23_SET *(unsigned int*)(GPIO_BANK23_BASE + GPIO_SET_OFFSET)+ P9 @, m  ~$ ]! ?' E
#define GPIO_BANK23_CLR *(unsigned int*)(GPIO_BANK23_BASE + GPIO_CLR_OFFSET)
/*System MMR Declaration*/- O1 q* x6 L4 z1 A
#define SYS_BASE 0x01C14000
# Q2 p" G+ F2 j7 e/ }#define HOST0CFG *(unsigned int*)(SYS_BASE + 0x040) //ARM HOST0CFG
+ y- W+ g- O! @- H! l7 P#define KICK0R *(unsigned int*)(SYS_BASE + 0x038)* x7 L3 f$ m6 E& o& P1 p
#define KICK1R *(unsigned int*)(SYS_BASE + 0x03c)- h" n* ~& T  ~; S
#define PINMUX0 *(unsigned int*)(SYS_BASE + 0x120) //PINMUX0/ d6 t$ `7 \. [% [8 n2 E+ {: t% \
#define PINMUX1 *(unsigned int*)(SYS_BASE + 0x124) //PINMUX1
2 ^8 M% C; r5 D0 ]; M6 E#define PINMUX2 *(unsigned int*)(SYS_BASE + 0x128) //PINMUX2
4 ]. M' R4 N' T' e" ]3 W8 M0 P#define PINMUX3 *(unsigned int*)(SYS_BASE + 0x12C) //PINMUX3
4 E3 f. I! l" y#define PINMUX4 *(unsigned int*)(SYS_BASE + 0x130) //PINMUX43 O' g8 k0 J* L7 E7 D2 o
#define PINMUX5 *(unsigned int*)(SYS_BASE + 0x134) //PINMUX5# j. B6 G0 e% I* H& n% `
#define PINMUX6 *(unsigned int*)(SYS_BASE + 0x138) //PINMUX64 x0 @8 J+ W) K: Y; c$ h; x" J
#define PINMUX7 *(unsigned int*)(SYS_BASE + 0x13C) //PINMUX7
* p. o$ O9 e5 d+ x# i#define PINMUX8 *(unsigned int*)(SYS_BASE + 0x140) //PINMUX8& Y4 t. j* \0 u
#define PINMUX9 *(unsigned int*)(SYS_BASE + 0x144) //PINMUX9
% v0 N( i& O! ]  E0 e! u4 {#define PINMUX10 *(unsigned int*)(SYS_BASE + 0x148) //PINMUX10
/ N& m7 C% `3 W( z7 C/ I0 M#define PINMUX11 *(unsigned int*)(SYS_BASE + 0x14C) //PINMUX11
$ o2 X, j  I5 I- U; ^: W#define PINMUX12 *(unsigned int*)(SYS_BASE + 0x150) //PINMUX12
0 q: v) g0 h, K% q  T6 M/ V#define PINMUX13 *(unsigned int*)(SYS_BASE + 0x154) //PINMUX131 `- w- z4 ]( e% |, r+ v
#define PINMUX14 *(unsigned int*)(SYS_BASE + 0x158) //PINMUX14
1 r" }( b. I. n3 z  ]#define PINMUX15 *(unsigned int*)(SYS_BASE + 0x15C) //PINMUX155 Y/ ^$ J' D' V* w( t) S
#define PINMUX16 *(unsigned int*)(SYS_BASE + 0x160) //PINMUX16
  F# \) Z" a+ E3 ^8 g$ H#define PINMUX17 *(unsigned int*)(SYS_BASE + 0x164) //PINMUX17
, r* K; A  k* f, D% H#define PINMUX18 *(unsigned int*)(SYS_BASE + 0x168) //PINMUX18
; ^* x( t  i8 r- c#define PINMUX19 *(unsigned int*)(SYS_BASE + 0x16C) //PINMUX196 ~/ S% _( M1 a5 _
#define CFGCHIP0 *(unsigned int*)(SYS_BASE + 0x17C)
7 J" C7 N+ a, C4 m8 v+ J7 k9 Z#define CFGCHIP2 *(unsigned int*)(SYS_BASE + 0x184)) j: \% \: w6 G3 V3 `4 \  t
#define CFGCHIP3 *(unsigned int*)(SYS_BASE + 0x188). ?% F( c. W; G6 x- }8 P  N5 H" P
#define PD0 0 /*Power Domain-0*/4 i9 R9 \+ B( t2 S
#define PD1 1 /*Power Domain-1*/
#define PLLEN_MUX_SWITCH 4
$ d. ^3 i8 ]* G$ X0 a#define PLL_LOCK_TIME_CNT 2400/ y+ ~' j$ f  f& V2 L% e* E' t3 W
#define PLL_STABILIZATION_TIME 20008 t  B1 _, {, k9 p
#define PLL_RESET_TIME_CNT 200
OnTargetConnect( ): O9 @2 m, }5 o
{$ P% }! m+ ~% A8 F, R' R5 d
GEL_TextOut("\tTarget Connected.\n","Output",1,1,1);
. Y/ E+ J4 C5 L9 ^7 E8 b. y6 uGEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);
  q& n. r, P7 ~6 X. K" I
" c" T- b1 J6 vCPU_PLL_PSC_DDR_Init();* Y8 n  a; i; H3 _
}& O0 F3 g9 b( H
//建立 菜单 Initiation 子菜单# V+ `) @4 A5 ]; ]. p4 |/ r8 ?
//CPU_PLL_PSC_DDR_Init
! d" S# ?$ [/ D1 Y0 nmenuitem "Initiation"
5 U7 W- o5 }$ E" R: I+ c' \hotmenu CPU_PLL_PSC_DDR_Init()
) {5 W; y$ K) u4 F; D( A0 M{
! B* s6 g$ ]5 {3 k) c# w! G! ZClear_Memory_Map();
9 s( o/ R& }6 ASetup_Memory_Map();
3 s0 ^% \$ B  J8 s  v8 m0 T( C, b+ T8 \: _$ ~7 D2 B4 e' g; f
PSC_All_On();8 ]( R6 f/ A5 q
Core_456MHz_DDR2_156MHz();
7 e; v7 g  Y  E3 z5 |3 W2 `PINMUX();
, y6 u* e$ l2 S( n3 V! q- wWake_DSP(); //只在OMAP下才有效 在使能ARM核之后需要使能DSP才可以调用DSP核
9 L* Z/ Y3 I( z9 oWake_PRU();
8 I$ l" r4 d. u7 _5 T5 y+ a}
Wake_DSP()
! ^$ _. ^( c& i{0 O# w  i/ _' \& _3 m" A
PSC0_LPSC_enableCore(1, LPSC_DSP);
GEL_TextOut("\tDSP Wake Complete.(Only For OMAPL138)\n","Output",1,1,1);
7 U2 e  j+ D# Y) wGEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);
3 W; c; p5 I3 e}
Wake_PRU()
+ r- K" e& m2 _8 d8 s{
$ L( u% I8 l* K$ a# M% C$ RPSC0_LPSC_enableCore(1, LPSC_PRU);
GEL_TextOut("\tPRU Wake Complete.(Only For OMAPL138)\n","Output",1,1,1);
- }% C) r! o) r. v, CGEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);' F$ Q$ O! E4 y& C5 N4 q0 Y
}
PINMUX()
& S, Z# y" B# @# x{
2 i6 i/ e. M" t  d( L/ x) \PINMUX0 = 0x88888888;3 ^4 u! \* i* F
PINMUX1 = 0x82828288;- F2 J9 ^  p3 X( u
PINMUX2 = 0x88888888;3 U; l1 N. a7 _' {
PINMUX3 = 0x88888888;: q8 M* M4 R& B1 {* z  }; T
PINMUX4 = 0x22222288;
. g$ y6 P7 I: g0 uPINMUX5 = 0x11118102;2 l9 d8 S+ r# o' b4 j7 i3 y5 l
PINMUX6 = 0x11111111;& o1 w6 j4 o( v  F0 @8 F- G1 i
PINMUX7 = 0x11111111;
2 P$ ?8 W  L+ A0 p0 RPINMUX8 = 0x11111111;" Y# l- {' x' u7 F2 a8 {- D4 e
PINMUX9 = 0x11111111;
6 _$ n6 S. s. h1 _PINMUX10 = 0x11118888;7 G  h7 V3 ]7 U; [0 i6 K8 g
PINMUX11 = 0x11111111;  \( Q3 _( n2 `: i
PINMUX12 = 0x11111111;) e$ W. F; g* y! w/ P2 W+ J
PINMUX13 = 0x44448888;
5 g+ p; x# ]$ C2 {+ X) Q/ g# d2 jPINMUX14 = 0x44444488;
  z. F0 L2 N3 A. g, VPINMUX15 = 0x44444444;
+ w5 N) a' f3 ~/ [# {) \! y, YPINMUX16 = 0x88888844;
, g9 u7 ]  D0 b$ ]0 I5 G  Q+ i; KPINMUX17 = 0x88888888;
5 W9 q* X  B# p0 \PINMUX18 = 0x88888888;# x; c& d% k/ E  `2 y$ H
PINMUX19 = 0x18888888;
. x% d# {$ T- k! l4 Y4 UGEL_TextOut("\tPINMUX Enable Complete.\n","Output",1,1,1);: S) l' x- _8 M" O% F8 e! L- Q
GEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);
% U7 N8 ]0 M5 @2 k% I. |, [- `}
Clear_Memory_Map(); m! l( q+ Y! P# t; z3 G6 i+ ?3 B
{/ f6 P: _5 m" U7 `1 {( g, J
GEL_MapOff( );
2 h; N  \& _# j4 R  M# @GEL_MapReset( );
; V* _7 v/ n) s$ sGEL_TextOut("\tMemory Map Cleared.\n","Output",1,1,1);5 H$ V$ _6 k8 j3 F6 Y0 g/ G* k
GEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);8 f- i1 H- T; W/ U& A+ T
}
Setup_Memory_Map()3 x. D# ]: J" c9 U7 x
{
( X, U, E. P3 z% S. i8 D; J+ c2 a/ k" F$ yGEL_MapOn( );
/ P6 j) h  a7 I9 U, o+ ]/ yGEL_MapReset( );
/* PRU */0 }, L4 P+ K7 y0 @: V( }
GEL_MapAddStr( 0x00000000, 0, 0x00000FFF, "R|W|AS4", 0 ); // PRUSS Local Address Space5 N9 M% Z3 T% C7 N* Y
GEL_MapAddStr( 0x01C30000, 0, 0x01C301FF, "R|W|AS4", 0 ); // Data RAM 0
' I4 D- _* b/ g4 eGEL_MapAddStr( 0x01C32000, 0, 0x01C321FF, "R|W|AS4", 0 ); // Data RAM 1
" ]) p0 m& l$ g8 c4 l+ F0 tGEL_MapAddStr( 0x01C34000, 0, 0x01C36FFF, "R|W|AS4", 0 ); // INTC Registers% }9 l9 S4 F5 |' ]  S- U) C
GEL_MapAddStr( 0x01C37000, 0, 0x01C377FF, "R|W|AS4", 0 ); // PRU0 Registers
6 I, H' u% {! d8 D6 TGEL_MapAddStr( 0x01C37800, 0, 0x01C37FFF, "R|W|AS4", 0 ); // PRU1 Registers
" v+ ]9 s0 S. ^2 w4 X3 EGEL_MapAddStr( 0x01C38000, 0, 0x01C38FFF, "R|W|AS4", 0 ); // PRU0 Instruction RAM
0 i6 F  P6 k/ o) A( [GEL_MapAddStr( 0x01C3C000, 0, 0x01C3CFFF, "R|W|AS4", 0 ); // PRU1 Instruction RAM
! ^- o  B9 v( A1 w/ K- u: l% p4 X5 g/ T5 P
/* ARM */: q7 x7 h3 l! S$ l) a! {" [
GEL_MapAddStr( 0xFFFD0000, 0, 0x00010000, "R|W|AS4", 0 ); // ARM Local ROM& b0 A8 L  k* V8 r+ g' @( A$ x7 N
GEL_MapAddStr( 0xFFFEE000, 0, 0x00001000, "R|W|AS4", 0 ); // ARM INTC! l! c% y, u& U% x5 A$ S' z9 F
GEL_MapAddStr( 0xFFFF0000, 0, 0x00002000, "R|W|AS4", 0 ); // ARM Local RAM7 y9 X1 ~" w3 x$ {+ O! j
GEL_MapAddStr( 0x01BC0000, 0, 0x00001000, "R|W|AS4", 0 ); // ARM ETB Memory
; h" r+ e# }+ ]/ c6 W$ iGEL_MapAddStr( 0x01BC1000, 0, 0x00000800, "R|W|AS4", 0 ); // ARM ETB Regs
* U) H- }9 d) J" W0 Q- Y& v# H. s( h' fGEL_MapAddStr( 0x01BC1800, 0, 0x00000100, "R|W|AS4", 0 ); // ARM Ice Crusher
/* DSP */
9 `' F( k( x+ e5 c6 Q/ GGEL_MapAddStr( 0x00700000, 0, 0x00100000, "R|W|AS4", 0 ); // DSP L2 ROM# R  Z. {! N" e0 _8 a: |. o/ {
GEL_MapAddStr( 0x00800000, 0, 0x00040000, "R|W|AS4", 0 ); // DSP l2 RAM
* S) c  i% a4 q0 r# \GEL_MapAddStr( 0x00E00000, 0, 0x00008000, "R|W|AS4", 0 ); // DSP L1P RAM
/ R; C6 `' T+ l" a3 J( \GEL_MapAddStr( 0x00F00000, 0, 0x00008000, "R|W|AS4", 0 ); // DSP L1D RAM
1 Y* e. b% R& NGEL_MapAddStr( 0x01800000, 0, 0x00010000, "R|W|AS4", 0 ); // DSP Interrupt Controller$ k: i+ ^2 f5 G9 p7 t% f6 a) i
GEL_MapAddStr( 0x01810000, 0, 0x00001000, "R|W|AS4", 0 ); // DSP Powerdown Controller
( X' q4 O. L3 |, R5 r8 mGEL_MapAddStr( 0x01811000, 0, 0x00001000, "R|W|AS4", 0 ); // DSP Security ID7 r$ X* Q" S9 b" [. k; W! M# D
GEL_MapAddStr( 0x01812000, 0, 0x00008000, "R|W|AS4", 0 ); // DSP Revision ID! o% @- r$ G) \, C% C+ b- i
GEL_MapAddStr( 0x01820000, 0, 0x00010000, "R|W|AS4", 0 ); // DSP EMC1 T, _3 o- Z# V) ?
GEL_MapAddStr( 0x01830000, 0, 0x00010000, "R|W|AS4", 0 ); // DSP Internal Reserved
9 T, O- V* {( W) E' D# Z4 [& B; V4 ]GEL_MapAddStr( 0x01840000, 0, 0x00010000, "R|W|AS4", 0 ); // DSP Memory System
GEL_MapAddStr( 0x11700000, 0, 0x00100000, "R|W|AS4", 0 ); // DSP L2 ROM (mirror)
4 l" ~1 E# v8 d3 ?GEL_MapAddStr( 0x11800000, 0, 0x00040000, "R|W|AS4", 0 ); // DSP l2 RAM (mirror)/ ?8 W, @6 i5 Y+ g1 H+ Q$ Z! O1 @
GEL_MapAddStr( 0x11E00000, 0, 0x00008000, "R|W|AS4", 0 ); // DSP L1P RAM (mirror)- l5 F4 A" c( p9 t, x
GEL_MapAddStr( 0x11F00000, 0, 0x00008000, "R|W|AS4", 0 ); // DSP L1D RAM (mirror)5 M1 V3 T( O, N5 ?7 M

. J6 U& a/ N9 n; j* t/* Shared RAM */
2 p1 p; [4 v6 Q' }/ OGEL_MapAddStr( 0x80000000, 0, 0x00020000, "R|W|AS4", 0 ); // Shared RAM
/* EMIFA */' i9 h# D# P; Z5 u+ H
GEL_MapAddStr( 0x40000000, 0, 0x20000000, "R|W|AS4", 0 ); // EMIFA SDRAM Data/ v- r% j+ J) q
GEL_MapAddStr( 0x60000000, 0, 0x02000000, "R|W|AS4", 0 ); // EMIFA CS2
& K& {$ i' F+ e5 Q# WGEL_MapAddStr( 0x62000000, 0, 0x02000000, "R|W|AS4", 0 ); // EMIFA CS3& {( `' }2 p3 O& g3 `' s3 `8 |
GEL_MapAddStr( 0x64000000, 0, 0x02000000, "R|W|AS4", 0 ); // EMIFA CS4( N' V# h) c0 G! j" A# N' U  E6 z
GEL_MapAddStr( 0x66000000, 0, 0x02000000, "R|W|AS4", 0 ); // EMIFA CS5
4 G* b% ?8 J% S" V2 d; RGEL_MapAddStr( 0x68000000, 0, 0x00008000, "R|W|AS4", 0 ); // EMIFA Control
/* DDR */
! d2 e9 T. `. W- iGEL_MapAddStr( 0xB0000000, 0, 0x00008000, "R|W|AS4", 0 ); // DDR Control& ]2 X0 v' @# l0 ?. x& Q* [5 B
GEL_MapAddStr( 0xC0000000, 0, 0x20000000, "R|W|AS4", 0 ); // DDR Data
/* Peripherals */
" U8 r! M$ k2 q1 o7 vGEL_MapAddStr( 0x01C00000, 0, 0x00008000, "R|W|AS4", 0 ); // TPCC0
% q1 T8 r/ J7 m6 r2 ?GEL_MapAddStr( 0x01C08000, 0, 0x00000400, "R|W|AS4", 0 ); // TPTC00 A7 d7 E3 d* q' S
GEL_MapAddStr( 0x01C08400, 0, 0x00000400, "R|W|AS4", 0 ); // TPTC1
. z* p! f" \% `- }; C; B0 SGEL_MapAddStr( 0x01C10000, 0, 0x00001000, "R|W|AS4", 0 ); // PSC 0) X" F5 T$ E/ y% j! P) b
GEL_MapAddStr( 0x01C11000, 0, 0x00001000, "R|W|AS4", 0 ); // PLL Controller 0
- j/ z+ U2 q- h5 S; QGEL_MapAddStr( 0x01C12000, 0, 0x00001000, "R|W|AS4", 0 ); // Key Manager: e" ^! v( \' G/ j- R$ r
GEL_MapAddStr( 0x01C13000, 0, 0x00001000, "R|W|AS4", 0 ); // SecCo' l9 k. O5 W2 V! |% \+ y$ C8 T
GEL_MapAddStr( 0x01C14000, 0, 0x00001000, "R|W|AS4", 0 ); // SysConfig
- ^% n4 o7 o) p6 ]* s! o! ]GEL_MapAddStr( 0x01C16000, 0, 0x00001000, "R|W|AS4", 0 ); // IOPU 0
9 K$ @+ d7 e+ ?* WGEL_MapAddStr( 0x01C17000, 0, 0x00001000, "R|W|AS4", 0 ); // IOPU 2( E5 P9 d1 e2 \2 f* G
GEL_MapAddStr( 0x01C20000, 0, 0x00001000, "R|W|AS4", 0 ); // Timer64P 0
) d3 p2 k; Q' y4 n5 z* \( MGEL_MapAddStr( 0x01C21000, 0, 0x00001000, "R|W|AS4", 0 ); // Timer64P 1
4 t+ c8 e3 w) l$ v6 x% OGEL_MapAddStr( 0x01C22000, 0, 0x00001000, "R|W|AS4", 0 ); // I2C 0
2 G" w+ ^! N3 x6 YGEL_MapAddStr( 0x01C23000, 0, 0x00001000, "R|W|AS4", 0 ); // RTC
) v0 ^1 N/ I4 I: U# ~4 }GEL_MapAddStr( 0x01C24000, 0, 0x00001000, "R|W|AS4", 0 ); // IOPU 1: }; X1 K2 }2 }& a+ ]$ P
GEL_MapAddStr( 0x01C30000, 0, 0x00000200, "R|W|AS4", 0 ); // PRU Data RAM 0
/ w  w8 D8 B5 o) Z$ c. _0 JGEL_MapAddStr( 0x01C32000, 0, 0x00000200, "R|W|AS4", 0 ); // PRU Data RAM 1
( N& z* ]: k: [" T1 N( h- k! kGEL_MapAddStr( 0x01C34000, 0, 0x00004000, "R|W|AS4", 0 ); // PRU Control Registers9 ^9 A- r& R) q/ \7 j
GEL_MapAddStr( 0x01C38000, 0, 0x00001000, "R|W|AS4", 0 ); // PRU 0 Config Memory5 h3 v+ p7 _6 ~1 Q% G7 L: P
GEL_MapAddStr( 0x01C3C000, 0, 0x00001000, "R|W|AS4", 0 ); // PRU 1 Config Memory2 o1 L7 p# U/ ]
GEL_MapAddStr( 0x01C40000, 0, 0x00001000, "R|W|AS4", 0 ); // MMC/SD 0
; y# t+ l! H4 |5 x/ J8 C9 ZGEL_MapAddStr( 0x01C41000, 0, 0x00001000, "R|W|AS4", 0 ); // SPI 0! J. m6 q$ h7 {3 P9 V
GEL_MapAddStr( 0x01C42000, 0, 0x00001000, "R|W|AS4", 0 ); // UART 0$ a( k& P) K- `. ]
GEL_MapAddStr( 0x01C43000, 0, 0x00001000, "R|W|AS4", 0 ); // MPU 0
' G8 {5 e9 m8 u: z) b; p6 Y- C3 }GEL_MapAddStr( 0x01D00000, 0, 0x00001000, "R|W|AS4", 0 ); // McASP 0 Control
: h$ K* }0 e4 m) bGEL_MapAddStr( 0x01D01000, 0, 0x00001000, "R|W|AS4", 0 ); // McASP 0 FIFO Ctrl
7 d, u) t6 x( D9 i( J' l3 ZGEL_MapAddStr( 0x01D02000, 0, 0x00001000, "R|W|AS4", 0 ); // McASP 0 Data
" x' h  I  v" y$ b, k, y6 FGEL_MapAddStr( 0x01D0C000, 0, 0x00001000, "R|W|AS4", 0 ); // UART 1; U' V, U6 m: b% @$ M, V' q; m5 B+ R
GEL_MapAddStr( 0x01D0D000, 0, 0x00001000, "R|W|AS4", 0 ); // UART 2) p' q5 {# z+ M( J
GEL_MapAddStr( 0x01D0E000, 0, 0x00001000, "R|W|AS4", 0 ); // IOPU 4  n4 P6 O7 _9 |6 K. O& Z# \
GEL_MapAddStr( 0x01D10000, 0, 0x00000800, "R|W|AS4", 0 ); // McBSP 0 Control. S/ A3 `! ^3 j
GEL_MapAddStr( 0x01D10800, 0, 0x00000200, "R|W|AS4", 0 ); // McBSP 0 FIFO Ctrl
  g) o1 Z# `. B: P0 C) ^' PGEL_MapAddStr( 0x01D11000, 0, 0x00000800, "R|W|AS4", 0 ); // McBSP 1 Control
4 G& T# @3 z. Z0 \2 UGEL_MapAddStr( 0x01D11800, 0, 0x00000200, "R|W|AS4", 0 ); // McBSP 1 FIFO Ctrl2 c5 ~; @% v8 A& \9 m% j& n
GEL_MapAddStr( 0x01E00000, 0, 0x00010000, "R|W|AS4", 0 ); // USB0 (USB HS) Cfg
3 t  F- G9 r9 j9 v' f9 J9 lGEL_MapAddStr( 0x01E10000, 0, 0x00001000, "R|W|AS4", 0 ); // UHPI Cfg- V, b  L0 \, p% O
GEL_MapAddStr( 0x01E11000, 0, 0x00001000, "R|W|AS4", 0 ); // UHPI (IODFT)% O5 {' f9 \0 N
GEL_MapAddStr( 0x01E13000, 0, 0x00001000, "R|W|AS4", 0 ); // LCD Controller
* \  z$ R% b* Q& O1 R' zGEL_MapAddStr( 0x01E14000, 0, 0x00001000, "R|W|AS4", 0 ); // MPU 14 A. _' B. }; x
GEL_MapAddStr( 0x01E15000, 0, 0x00001000, "R|W|AS4", 0 ); // MPU 23 o+ w3 ^# K: t+ O. ^
GEL_MapAddStr( 0x01E16000, 0, 0x00001000, "R|W|AS4", 0 ); // UPP' w1 u4 N$ E2 P+ w' G5 o) A( U0 J
GEL_MapAddStr( 0x01E17000, 0, 0x00001000, "R|W|AS4", 0 ); // VPIF
! |  ]7 r  G" p5 v6 Q/ fGEL_MapAddStr( 0x01E18000, 0, 0x00002000, "R|W|AS4", 0 ); // SATA  s" Q3 S3 X  {( G! o6 l( m
GEL_MapAddStr( 0x01E1A000, 0, 0x00001000, "R|W|AS4", 0 ); // PLL Controller 1/ S9 ~5 ^  W7 q: X$ X, v* W
GEL_MapAddStr( 0x01E1B000, 0, 0x00001000, "R|W|AS4", 0 ); // MMC/SD 1) O5 r; l. ~8 U7 S. G0 K+ z: ^
GEL_MapAddStr( 0x01E20000, 0, 0x00002000, "R|W|AS4", 0 ); // EMAC CPPI0 \9 v% f7 n9 H% r+ g" R: }! S! k
GEL_MapAddStr( 0x01E22000, 0, 0x00001000, "R|W|AS4", 0 ); // EMAC CONTROL registers+ T" i) [" j6 N1 Z" l
GEL_MapAddStr( 0x01E23000, 0, 0x00001000, "R|W|AS4", 0 ); // EMAC registers
- K3 f) s$ k+ y$ y6 E. Y' B* DGEL_MapAddStr( 0x01E24000, 0, 0x00001000, "R|W|AS4", 0 ); // EMAC MDIO port) H; q  _- [0 G5 o
GEL_MapAddStr( 0x01E25000, 0, 0x00001000, "R|W|AS4", 0 ); // USB1 (USB FS)
0 X9 m6 \: M9 W% u! g5 ?GEL_MapAddStr( 0x01E26000, 0, 0x00001000, "R|W|AS4", 0 ); // GPIO
) H1 m; D, F/ L% `GEL_MapAddStr( 0x01E27000, 0, 0x00001000, "R|W|AS4", 0 ); // PSC 10 ~) L( @( t1 E
GEL_MapAddStr( 0x01E28000, 0, 0x00001000, "R|W|AS4", 0 ); // I2C 1
  y2 j4 p& N& K4 B) j3 U! e& QGEL_MapAddStr( 0x01E29000, 0, 0x00001000, "R|W|AS4", 0 ); // IOPU 3
" Y4 V3 h* u- F: p/ C% h5 G' HGEL_MapAddStr( 0x01E2A000, 0, 0x00001000, "R|W|AS4", 0 ); // PBIST Controller. q) `4 i1 }1 L7 N) H; e# L: T
GEL_MapAddStr( 0x01E2B000, 0, 0x00001000, "R|W|AS4", 0 ); // PBIST Combiner
! I1 o0 p% K7 `. ?' b# x) a  @( zGEL_MapAddStr( 0x01E2C000, 0, 0x00001000, "R|W|AS4", 0 ); // System Config
GEL_MapAddStr( 0x01E30000, 0, 0x00008000, "R|W|AS4", 0 ); // TPCC10 f0 _2 [' a3 [5 x5 K* ]" C
GEL_MapAddStr( 0x01E38000, 0, 0x00000400, "R|W|AS4", 0 ); // TPTC2
+ i0 b1 J7 y- UGEL_MapAddStr( 0x01F00000, 0, 0x00001000, "R|W|AS4", 0 ); // EPWM 02 Y7 p- e+ W% l; m+ |1 f
GEL_MapAddStr( 0x01F01000, 0, 0x00001000, "R|W|AS4", 0 ); // HRPWM 0: j+ a& N  G: J0 b! T1 [' p; U9 Z
GEL_MapAddStr( 0x01F02000, 0, 0x00001000, "R|W|AS4", 0 ); // EPWM 1
7 `& r+ A$ z( E# n2 d% i9 lGEL_MapAddStr( 0x01F03000, 0, 0x00001000, "R|W|AS4", 0 ); // HRPWM 1( M) D8 l  M. ]# i+ g) h+ a
GEL_MapAddStr( 0x01F06000, 0, 0x00001000, "R|W|AS4", 0 ); // ECAP 0. D% |, `& F: z& _" g
GEL_MapAddStr( 0x01F07000, 0, 0x00001000, "R|W|AS4", 0 ); // ECAP 1
. U; J! w1 |0 |* }$ T% MGEL_MapAddStr( 0x01F08000, 0, 0x00001000, "R|W|AS4", 0 ); // ECAP 2" ]( s/ I% [8 ~, K
GEL_MapAddStr( 0x01F0B000, 0, 0x00001000, "R|W|AS4", 0 ); // IOPU 5
& H$ Q! y" H: l+ M+ _4 HGEL_MapAddStr( 0x01F0C000, 0, 0x00001000, "R|W|AS4", 0 ); // Timer64P 2
% s& j# B+ c! h: Q. j3 o: U/ H4 SGEL_MapAddStr( 0x01F0D000, 0, 0x00001000, "R|W|AS4", 0 ); // Timer64P 3
/ N' j4 c. \* ]# y0 o' W8 `" s! YGEL_MapAddStr( 0x01F0E000, 0, 0x00001000, "R|W|AS4", 0 ); // SPI1
: [3 m: E1 V( D6 W/ nGEL_MapAddStr( 0x01F10000, 0, 0x00001000, "R|W|AS4", 0 ); // McBSP 0 FIFO Data
- Y. W4 D: v( E. D. Y. ^7 S, yGEL_MapAddStr( 0x01F11000, 0, 0x00001000, "R|W|AS4", 0 ); // McBSP 1 FIFO Data1 s+ g0 }1 G& k7 ?3 {
GEL_TextOut("\tMemory Map Setup Complete.\n","Output",1,1,1);
7 V+ _4 J( [  Z  P- Y5 TGEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);) ?; d; H9 G' A. I4 @
}
Set_Core_456MHz() {
5 q& `! I) s% {# L; V, _/ ndevice_PLL0(0,18,0,0,1,3,9);
- J) V9 b' U% i. U7 dGEL_TextOut("\tPLL0 init done for Core:456MHz, EMIFA:114MHz\n","Output",1,1,1);7 I4 F: J2 C6 k% W. X8 v
}
Set_DDRPLL_156MHz() {2 s0 {) q) a% y$ j. S8 L6 M
device_PLL1(12,0,0,1,2);
- @, O/ a" p. L8 C9 Y$ w% LGEL_TextOut("\tPLL1 init done for DDR:156MHz\n","Output",1,1,1);
1 Z: z4 Q; J3 ^6 D& Q}
Set_DDR2_156MHz() {
6 Q. ]' `$ u' [. VGEL_TextOut("\tDDR initialization is in progress....\n","Output",1,1,1);  B8 x( i* e* g$ ~
Set_DDRPLL_156MHz();
( h$ a9 S8 q9 S; @& X# P% CDEVICE_DDRConfig();$ K1 K0 `+ Q7 L& @) T1 \( ?  _
GEL_TextOut("\tDDR2 init for 156 MHz is done\n","Output",1,1,1);
5 k  W, a2 ?+ s* w0 L7 i0 z  G}
Core_456MHz_DDR2_156MHz() {  M* p$ E5 X+ N* K/ m9 A
Set_Core_456MHz();
6 T2 r4 |7 A* WSet_DDR2_156MHz();( M- T6 o2 R3 y, b3 y
GEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);
& \' P% w7 }. n}
PSC_All_On() {0 R0 v1 [6 F* [6 h- `: f! A
// PSC0( f  _" |( V% K' `% ?$ T
PSC0_LPSC_enable(0, LPSC_EDMA_CC0);& Q% g4 \7 V, p& o, |$ k
PSC0_LPSC_enable(0, LPSC_EDMA_TC0);
0 x3 m" O" ]- v# [2 MPSC0_LPSC_enable(0, LPSC_EDMA_TC1);! d7 D# H+ E. g! R. X- d% |# o
PSC0_LPSC_enable(0, LPSC_EMIFA);7 z4 _/ X, e. x' |  A3 @$ T
PSC0_LPSC_enable(0, LPSC_SPI0);8 f8 P6 {1 q$ C+ I
PSC0_LPSC_enable(0, LPSC_MMCSD0);# V: h: `  D/ C' ]: {! u
PSC0_LPSC_enable(0, LPSC_ARM_AINTC);+ [% [1 _0 L" z" f/ b- ~4 j
PSC0_LPSC_enable(0, LPSC_ARM_RAMROM);
1 ~" g( J1 o, D( HPSC0_LPSC_enable(0, LPSC_UART0);
! l6 h- v0 T" E$ o1 X8 j2 ~PSC0_LPSC_enable(0, LPSC_SCR0);+ P8 b9 V6 k! f/ ]0 I) w+ j0 F
PSC0_LPSC_enable(0, LPSC_SCR1);: Y! @3 y( k. H8 n8 W% S. |3 q
PSC0_LPSC_enable(0, LPSC_SCR2);
// PSC1
+ H$ j9 B! z' i* |: t2 PPSC1_LPSC_enable(0, LPSC_EDMA_CC1);
) e2 e8 _  r7 n- e+ d8 @PSC1_LPSC_enable(0, LPSC_USB20);
8 U, X, z; p, wPSC1_LPSC_enable(0, LPSC_USB11);- E/ F  X! n1 `
CFGCHIP2 = 0x09F2; //Enable USB clock, PHY_PLLON, glue logic mux(USB2 ref clk input)# N5 u$ j1 |: j0 C6 k4 B
PSC1_LPSC_enable(0, LPSC_GPIO);
2 D  y  t/ C7 I; P4 I2 v/ QPSC1_LPSC_enable(0, LPSC_UHPI);
# |" j, \+ O2 h& x5 Q7 B) bPSC1_LPSC_enable(0, LPSC_EMAC);8 K% B6 B& \) a2 F8 g% K
PSC1_LPSC_enable(0, LPSC_MCASP0);
: |$ `8 @9 u, n9 S1 ]$ h8 qPSC1_LPSC_force(LPSC_SATA);8 C4 _5 X* W" D
PSC1_LPSC_enable(0, LPSC_SATA);
" ?2 `5 t" O9 K! CPSC1_LPSC_enable(0, LPSC_VPIF);7 E: ]# s( S$ K# j1 f9 \/ @
PSC1_LPSC_enable(0, LPSC_SPI1);* W/ A0 W/ g1 }6 l
PSC1_LPSC_enable(0, LPSC_I2C1);
7 D0 u0 V. G7 P8 }. VPSC1_LPSC_enable(0, LPSC_UART1);- m0 [8 N/ E2 G0 h0 U
PSC1_LPSC_enable(0, LPSC_UART2);
3 T, h1 _* o9 @/ S8 i5 K/ p( ZPSC1_LPSC_enable(0, LPSC_MCBSP0);7 \5 ^; F) [! B, O# e
PSC1_LPSC_enable(0, LPSC_MCBSP1);/ i7 [5 A6 L, E. k" I& u- {
PSC1_LPSC_enable(0, LPSC_LCDC);3 d0 T" E+ h6 q/ `! ^9 b! ~9 c8 n
PSC1_LPSC_enable(0, LPSC_EPWM);7 J- R1 u" j% p2 j# M& m
PSC1_LPSC_enable(0, LPSC_MMCSD1);4 t! y# p! v! j
PSC1_LPSC_enable(0, LPSC_UPP);
2 p3 B; D# N# I! \! o$ J# u, MPSC1_LPSC_enable(0, LPSC_ECAP);& l! A2 L, T/ F- d/ d/ A" x
PSC1_LPSC_enable(0, LPSC_EDMA_TC2);: }, ~, L( m4 e) y3 t: u
PSC1_LPSC_enable(0, LPSC_SCR_F0);
+ O0 Y1 x; x" A1 o- G! F: ^2 HPSC1_LPSC_enable(0, LPSC_SCR_F1);1 u1 ^2 _- x2 `9 f9 v7 \2 J# c
PSC1_LPSC_enable(0, LPSC_SCR_F2);5 ]. A# y2 _( w5 ~. ]
PSC1_LPSC_enable(0, LPSC_SCR_F6);4 N9 l5 c/ A* V( k) a
PSC1_LPSC_enable(0, LPSC_SCR_F7);
# s) Q/ [, w5 \. u& u7 hPSC1_LPSC_enable(0, LPSC_SCR_F8);! k! ~: X1 `3 [% h$ b8 r+ v/ L
PSC1_LPSC_enable(0, LPSC_BR_F7);$ t& q% {; ?" B
PSC1_LPSC_enable(0, LPSC_SHARED_RAM);
GEL_TextOut("\tPSC Enable Complete.\n","Output",1,1,1);
% y$ [) _4 Y$ S" g- j7 F0 v3 AGEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);
+ P: I. A$ v8 P# ]. I1 s}
/**************************************************************************************************************************************************
/ y' ^2 S; s$ D) S1 x  BDevice_PLL0 init:
CLKMODE - 0---->On Chip Oscilator 1---->External Oscilator9 q8 Y# e9 H' D' X% |1 i
PLL0_SYSCLK1 - Fixed ratio /1
, M8 t. O5 x, d) ^) gPLL0_SYSCLK2 - Fixed ratio /2
8 r) K: e8 t: k3 F5 q" G3 UPLL0_SYSCLK3 - Variable Divider (EMIFA)
7 y( Z! t) q# X! U% C. w7 KPLL0_SYSCLK4 - Fixed ratio /4
9 J% H! \9 u1 S9 F. UPLL0_SYSCLK5 - Not used -- do nothing
" P" |. V2 K4 {5 D2 }PLL0_SYSCLK6 - Fixed ratio /1
. p: S. T2 a7 bPLL0_SYSCLK7 - Variable Divider (RMII)
0 ]) v0 W( j9 L. t# B3 k' s. {******************************************************************************************************************************************************/
8 {+ W" E$ a1 Y6 qdevice_PLL0(unsigned int CLKMODE, unsigned int PLLM, unsigned int POSTDIV,unsigned int PLLDIV1, unsigned int PLLDIV2, unsigned int PLLDIV3, unsigned int PLLDIV7 ) {
unsigned int i=0;
/* Clear PLL lock bit */
6 c/ |4 s5 U+ r  F# @CFGCHIP0 &= ~(0x00000010);
/* Set PLLENSRC '0',bit 5, PLL Enable(PLLEN) selection is controlled through MMR */
) C' o) d/ u; v" hPLL0_PLLCTL &= ~(0x00000020);
/* PLLCTL.EXTCLKSRC bit 9 should be left at 0 for Freon */
/ M# [$ e9 O5 d5 [2 {3 h/ \PLL0_PLLCTL &= ~(0x00000200);
/* Set PLLEN=0 to put in bypass mode*/, H+ }0 u- o8 P( X1 Q. @' ?1 ]3 J, w
PLL0_PLLCTL &= ~(0x00000001);
/*wait for 4 cycles to allow PLLEN mux switches properly to bypass clock*/
9 [1 v+ @" y9 `! g1 ]) B5 Mfor(i=0; i<PLLEN_MUX_SWITCH; i++) {;}
/* Select the Clock Mode bit 8 as External Clock or On Chip Oscilator*/
! l- ]8 v" o- f, VPLL0_PLLCTL &= 0xFFFFFEFF;) G. f( Z$ ?2 K5 z. C
PLL0_PLLCTL |= (CLKMODE << 8);
/*Clear PLLRST bit to reset the PLL */
. f( C+ ~  n( g1 G0 x3 g% h. uPLL0_PLLCTL &= ~(0x00000008);
/* Disable the PLL output*/+ _9 N2 N, C. G, k& _5 B4 q
PLL0_PLLCTL |= (0x00000010);
/* PLL initialization sequence* T' ~5 h9 t% S- W; _
Power up the PLL by setting PWRDN bit set to 0 */
3 Q# v  M0 K; o  Q3 B5 mPLL0_PLLCTL &= ~(0x00000002);
/* Enable the PLL output*/$ o$ ]0 z/ g# l/ l9 P  |1 }
PLL0_PLLCTL &= ~(0x00000010);
/*PLL stabilisation time- take out this step , not required here when PLL in bypassmode*/
+ g, ]5 Z8 {2 b  wfor(i=0; i<PLL_STABILIZATION_TIME; i++) {;}
/*Program the required multiplier value in PLLM*/4 z  p& ^" J6 l+ O$ r+ T
PLL0_PLLM = PLLM;
/*If desired to scale all the SYSCLK frequencies of a given PLLC, program the POSTDIV ratio*/- E  [- D( n1 t; ~6 S, o! A
PLL0_POSTDIV = 0x8000 | POSTDIV;
/*Check for the GOSTAT bit in PLLSTAT to clear to 0 to indicate that no GO operation is currently in progress*/+ E$ }1 G' S- R$ ~' ]
while(PLL0_PLLSTAT & 0x1==1){}
/*Program the RATIO field in PLLDIVx with the desired divide factors. In addition, make sure in this step you leave the PLLDIVx.DxEN bits set so clocks are still enabled (default).*/, b7 G2 Y3 ]* g! w
PLL0_PLLDIV1 = 0x8000 | PLLDIV1; // Fixed Ratio /1
4 U2 T: Q1 s6 U8 }6 H* dPLL0_PLLDIV2 = 0x8000 | PLLDIV2; // Fixed Ratio /2
/ i; R7 i" T5 C: G7 {4 }+ t6 jPLL0_PLLDIV4 = 0x8000 | (((PLLDIV1+1)*4)-1); // Fixed Ratio /4
+ D: S7 v5 ~3 Y: y8 P' l2 y4 bPLL0_PLLDIV6 = 0x8000 | PLLDIV1; // Fixed Ratio /1
# j. U3 e2 i, ]2 `$ K" I# y! \PLL0_PLLDIV3 = 0x8000 | PLLDIV3; // Variable Ratio (EMIF); T4 g+ `, L  ]1 v* q$ y7 ]
PLL0_PLLDIV7 = 0x8000 | PLLDIV7; // Variable Ratio (RMII)
; f/ b  X7 f" ^- Z
/*Set the GOSET bit in PLLCMD to 1 to initiate a new divider transition.*/2 w# O1 s2 {" n3 M# c" ]2 ~) Y
PLL0_PLLCMD |= 0x1;
/*Wait for the GOSTAT bit in PLLSTAT to clear to 0 (completion of phase alignment).*/. i2 p% {& N" P) Q0 _" r- [; B! @
while(PLL0_PLLSTAT & 0x1==1) { }
/*Wait for PLL to reset properly.*/# C8 Y' l: O' W
for(i=0; i<PLL_RESET_TIME_CNT; i++) {;}
/*Set the PLLRST bit in PLLCTL to 1 to bring the PLL out of reset*// q1 C) f3 E& x7 I. u
PLL0_PLLCTL |= 0x8;
/*Wait for PLL to lock.*/
6 ~% H/ s+ P/ S& Yfor(i=0; i<PLL_LOCK_TIME_CNT; i++) {;}
/*Set the PLLEN bit in PLLCTL to 1 to remove the PLL from bypass mode*/
: H# J! M+ s& ?PLL0_PLLCTL |= 0x1;2 Y- T0 O( N  L$ S" I
}
/**********************************************************************************' a. y+ L4 {! x7 ^6 R
DDR PLL1 init:
***********************************************************************************/$ D. H! Q4 L9 h$ m9 Q3 G9 }, m
device_PLL1(unsigned int PLLM,unsigned int POSTDIV,unsigned int PLLDIV1, unsigned int PLLDIV2, unsigned int PLLDIV3 ) {
unsigned int i=0;
/* Clear PLL lock bit */  G: Q- ~+ O- o. T3 g; l/ F
CFGCHIP3 &= ~(0x00000020);
/* Set PLLENSRC '0',bit 5, PLL Enable(PLLEN) selection is controlled through MMR */( c( E. B4 u6 O) E
PLL1_PLLCTL &= ~(0x00000020);
/* PLLCTL.EXTCLKSRC bit 9 should be left at 0 for Freon */
; e- w- P* }/ B8 MPLL1_PLLCTL &= ~(0x00000200);
/* Set PLLEN=0 to put in bypass mode*/" s6 X  u9 y5 F- B; T6 E, c
PLL1_PLLCTL &= ~(0x00000001);
/*wait for 4 cycles to allow PLLEN mux switches properly to bypass clock*/
+ |0 d0 e& d; r5 G7 E! [; _for(i=0; i<PLLEN_MUX_SWITCH; i++) {;}
/*Clear PLLRST bit to reset the PLL */
- @9 O3 Q5 Y' a4 jPLL1_PLLCTL &= ~(0x00000008);
/* Disable the PLL output*/
1 L+ H: O" O& E% p4 {. i# APLL1_PLLCTL |= (0x00000010);
/* PLL initialization sequence0 H: N- C: {5 z$ S8 B4 f" s( b+ D/ k
Power up the PLL by setting PWRDN bit set to 0 */
- e1 H, X' e) d# aPLL1_PLLCTL &= ~(0x00000002);
/* Enable the PLL output*/
, n/ m+ r7 F9 Q/ u; FPLL1_PLLCTL &= ~(0x00000010);
/*PLL stabilisation time- take out this step , not required here when PLL in bypassmode*/
9 ]) A+ d0 ]1 B" h! Lfor(i=0; i<PLL_STABILIZATION_TIME; i++) {;}
/*Program the required multiplier value in PLLM*/) Y0 W1 E2 D/ F  h. f- R! m- |
PLL1_PLLM = PLLM;
/*If desired to scale all the SYSCLK frequencies of a given PLLC, program the POSTDIV ratio*/
& j) Z8 P- l1 y' v- s1 rPLL1_POSTDIV = 0x8000 | POSTDIV;
/*Check for the GOSTAT bit in PLLSTAT to clear to 0 to indicate that no GO operation is currently in progress*/
2 B* A; ]- ^/ w) E1 M9 C. ~3 W. uwhile(PLL1_PLLSTAT & 0x1==1){}
/*Program the RATIO field in PLLDIVx with the desired divide factors. In addition, make sure in this step you leave the PLLDIVx.DxEN bits set so clocks are still enabled (default).*/
  ]* C& l) K) c3 M3 u+ g$ ]3 zPLL1_PLLDIV1 = 0x8000 | PLLDIV1; // DDR frequency (aka 2X_CLK)3 R! q% I' T5 `& w
PLL1_PLLDIV2 = 0x8000 | PLLDIV2; // Optional CFGCHIP3[ASYNC3_CLKSRC] clock source) g  A) |# w/ y8 x2 U5 s. N% _
PLL1_PLLDIV3 = 0x8000 | PLLDIV3; // Optional PLL0 clock source
/*Set the GOSET bit in PLLCMD to 1 to initiate a new divider transition.*/
. |' t- F9 v7 S# mPLL1_PLLCMD |= 0x1;
/*Wait for the GOSTAT bit in PLLSTAT to clear to 0 (completion of phase alignment).*/
; m/ P7 K9 z) q, j4 Y* G# mwhile(PLL1_PLLSTAT & 0x1==1) { }
/*Wait for PLL to reset properly */. G3 z4 x3 {0 s  d
for(i=0; i<PLL_RESET_TIME_CNT; i++) {;}
/*Set the PLLRST bit in PLLCTL to 1 to bring the PLL out of reset*/
, }  A1 @% N& U) R2 TPLL1_PLLCTL |= 0x8;
/*Wait for PLL to lock. See PLL spec for PLL lock time*/
2 [  J# Y2 [% t9 s% ~2 x- Lfor(i=0; i<PLL_LOCK_TIME_CNT; i++) {;}
/*Set the PLLEN bit in PLLCTL to 1 to remove the PLL from bypass mode*/! z, N: @$ y$ M! }+ e. d
PLL1_PLLCTL |= 0x1;
, ]2 v3 Y$ K: w0 ?. [}
/**********************************************************************************
7 F4 W. t! X( @PSC Common functions :
***********************************************************************************/
2 M6 A7 z# l! U/*Force module state without handshaking */4 X& U5 f' n+ O- F- I
PSC1_LPSC_force(unsigned int LPSC_num) {
! [1 B6 b/ f* l# ~7 L2 p. o( O*(unsigned int*) (PSC1_MDCTL+4*LPSC_num) = (*(unsigned int*) (PSC1_MDCTL+4*LPSC_num) | 0x80000000);9 F  N6 n# N# F. M
}
/*SyncReset Function for PSC1*/$ J3 G, W# ?$ ^/ Y
PSC1_LPSC_SyncReset(unsigned int PD, unsigned int LPSC_num) {
  M9 H+ ~9 {5 f; {unsigned int j;
if( (*(unsigned int*)(PSC1_MDSTAT+4 * LPSC_num) & 0x1F) != 0x1 ) {* k6 J# t! r* }( n) T
*(unsigned int*) (PSC1_MDCTL+4*LPSC_num) = (*(unsigned int*) (PSC1_MDCTL+4*LPSC_num) & 0xFFFFFFE0) | 0x0001;& P0 K3 ~6 N! u9 K% G' q3 _
PSC1_PTCMD = 0x1<<PD;
j = 0;  S0 m& i( _# O- \6 r
/*Wait for power state transition to finish*/& m7 F3 j4 u1 R4 B9 _- [4 l
while( (PSC1_PTSTAT & (0x1<<PD) ) !=0) {
" N% O7 O7 U4 [7 D2 |5 e8 I$ I' Y( @* eif( j++ > PSC_TIMEOUT ) {2 E9 ^' ^+ s$ [5 ~% @/ D
GEL_TextOut("\tPSC1 Sync Reset Transition Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);; j8 W$ W# ]# r( x; }
break;
9 Y& ~8 Z8 F4 U! d2 F$ y& K}; M: |# Y* k: B. c( ?
}
j = 0;
( ~; c% q6 ~8 Lwhile( (*(unsigned int*)(PSC1_MDSTAT+4 * LPSC_num) & 0x1F) !=0x1) {4 o! }* Z6 N* [9 _  D  v$ o1 E+ h" M) P
if( j++ > PSC_TIMEOUT ) {
  w  m; T9 x9 m) Y- D6 R6 D" ZGEL_TextOut("\tPSC1 Sync Reset Verify Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);
' u9 m7 o4 C( {break;* d) }' f5 A. k4 p& A& E
}2 E  W6 z! R( C/ u6 H6 t5 f& ]
}
! f" U$ V2 ~7 m: n* y, `2 s}' \3 ^3 c# r/ d8 I' n$ G
}
/*Enable Function for PSC1*/
- H" n" v- J7 ^2 _: nPSC1_LPSC_enable(unsigned int PD, unsigned int LPSC_num) {+ Q4 [3 A- V- g0 l
unsigned int j;1 y% H6 h+ Y  }
3 r: a, K3 q8 c  `& m# e5 P
if( (*(unsigned int*)(PSC1_MDSTAT+4 * LPSC_num) & 0x1F) != 0x3 ) {
8 y' A: U/ |2 E+ I$ a4 U1 ^*(unsigned int*) (PSC1_MDCTL+4*LPSC_num) = (*(unsigned int*) (PSC1_MDCTL+4*LPSC_num) & 0xFFFFFFE0) | 0x0003;
, |6 @/ ~- R/ b7 I- Z, l  W& tPSC1_PTCMD = 0x1<<PD;
j = 0;
: |# N7 Q: ]: j/*Wait for power state transition to finish*/
+ T( F, K( i  N& Swhile( (PSC1_PTSTAT & (0x1<<PD) ) !=0) {$ N( u+ l0 T- y& R; T8 \
if( j++ > PSC_TIMEOUT ) {$ T5 A: {8 X& c  M& ]2 h( g
GEL_TextOut("\tPSC1 Enable Transition Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);
8 x; p1 M+ `) M! N' i: Rbreak;9 b' p+ i0 O; ~+ F- u
}
' x+ X$ X4 L% \7 M}
j = 0;8 g1 f( @- W) u+ q* U2 j: j( O
while( (*(unsigned int*)(PSC1_MDSTAT+4 * LPSC_num) & 0x1F) !=0x3) {0 X: \4 `6 o. X: @* c0 v& v& |
if( j++ > PSC_TIMEOUT ) {# X* D- y7 J: K- z; `
GEL_TextOut("\tPSC1 Enable Verify Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);
7 M) ]5 k( @' E! R/ C0 {0 L# `break;; i2 s6 l0 m8 g: ~/ s1 q
}/ \- o- b" E  u% N/ s
}( c: ^( K# M! B7 y
}
  `; h9 H6 f/ A! u- B/ t}
/*LPSC Enable Function for ARM or DSP*/
( H4 b! D) i! Z' L& b* vPSC0_LPSC_enableCore(unsigned int PD, unsigned int LPSC_num) {7 |* e# a6 q8 @( f
unsigned int j;
  K* N6 d# B+ R" |: |% y: K; C1 l" R  @
if( (*(unsigned int*)(PSC0_MDSTAT+4 * LPSC_num) & 0x11F) != 0x103 ) {
. F0 R3 u, B% a6 a; h  d$ d$ Q*(unsigned int*) (PSC0_MDCTL+4*LPSC_num) = (*(unsigned int*) (PSC0_MDCTL+4*LPSC_num) & 0xFFFFFEE0) | 0x0103;
$ h/ W2 D& ^% M, F% `PSC0_PTCMD = 0x1<<PD;
j = 0;8 [6 F8 R: z* K+ l- o
/*Wait for power state transition to finish*/5 m! x/ R5 r8 |+ G- t
while( (PSC0_PTSTAT & (0x1<<PD) ) !=0) {
& Z/ J7 U4 v  [/ S' Uif( j++ > PSC_TIMEOUT ) {
: ~+ x6 Q- W" E# q" ]& b! rGEL_TextOut("\tPSC0 Enable Core Transition Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);* G* B( |) o, a5 m
break;: ~8 W+ x2 J4 @0 y# ?; j; B
}
- _! f; u' ]5 v8 _, ^+ t}
# K' M; P4 a* k  U! A
6 y1 _( Q: U# g: I( D6 ej = 0;" O/ n+ Z" C& ?2 R0 R/ P9 h
while( (*(unsigned int*)(PSC0_MDSTAT+4 * LPSC_num) & 0x11F) !=0x103) {
/ A/ W! i/ K3 T! F) t. Eif( j++ > PSC_TIMEOUT ) {+ W5 n% H4 B& L% R
GEL_TextOut("\tPSC0 Enable Core Verify Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);% T: P$ w3 l( j1 {
break;" A) p7 K. o% B/ O
}
; n+ o3 O9 [% ^7 u5 h9 O2 n}' a+ I0 k: H8 p- z! N6 l. j
}0 M9 x! c/ N/ |) Z' O- c) s2 ~
}
/*SyncReset Function for PSC0*/
& F( I5 _, M8 {" B" _2 _PSC0_LPSC_SyncReset(unsigned int PD, unsigned int LPSC_num) {2 m- Q1 i8 U/ @  h' j/ n
unsigned int j;
% _: x% P: K6 a4 z- T/ q* \$ E
6 C0 `6 u7 w" M* W5 v1 ]/ t" bif( (*(unsigned int*)(PSC0_MDSTAT+4 * LPSC_num) & 0x1F) != 0x1 ) {
: }6 N- u  o+ c. D: V4 C1 i1 O# r6 F*(unsigned int*) (PSC0_MDCTL+4*LPSC_num) = (*(unsigned int*) (PSC0_MDCTL+4*LPSC_num) & 0xFFFFFFE0) | 0x0001;4 V6 O" D: k- R$ X3 Q% U9 d
PSC0_PTCMD = 0x1<<PD;
j = 0;
7 ?  b6 X  M0 N5 m6 i( h( L# y/*Wait for power state transition to finish*/
- g9 z. s+ M6 I* I: }1 w+ ^; nwhile( (PSC0_PTSTAT & (0x1<<PD) ) !=0) {
% p! d" I5 Q0 w0 H, X4 s$ m; wif( j++ > PSC_TIMEOUT ) {1 V& [9 D- D1 r* g+ Y5 J2 X
GEL_TextOut("\tPSC0 Sync Reset Transition Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);
7 @, ]$ @! N- A! g/ Nbreak;
# Y9 y/ Y# J! i. @}
' O, ~- @: a* X/ |. X}
j = 0;
: @- F" r% B9 p$ b& o9 L( swhile( (*(unsigned int*)(PSC0_MDSTAT+4 * LPSC_num) & 0x1F) !=0x1) {+ m" g6 L. ^7 w" z* S: b1 E
if( j++ > PSC_TIMEOUT ) {
& C/ b1 |+ V  b( mGEL_TextOut("\tPSC0 Sync Reset Verify Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);
5 L/ w/ n- n! w6 l- q- `" e$ Sbreak;. T! b) Y  D; @+ s
}
3 X0 f/ Z: e! ?( D+ I5 A}
7 \1 E1 m% @2 k" b' l}& B/ U2 R1 t( I1 N
}
/*Enable Function for PSC0*/
" e$ c& F0 X* [PSC0_LPSC_enable(unsigned int PD, unsigned int LPSC_num) {
) ~) Z/ H2 ]( a! `" munsigned int j;
if( (*(unsigned int*)(PSC0_MDSTAT+4 * LPSC_num) & 0x1F) != 0x3 ) {5 R) N% Y, S& ]9 J$ p: M
*(unsigned int*) (PSC0_MDCTL+4*LPSC_num) = (*(unsigned int*) (PSC0_MDCTL+4*LPSC_num) & 0xFFFFFFE0) | 0x0003;
3 R8 s( s+ E8 y' i2 ]PSC0_PTCMD = 0x1<<PD;
j = 0;& k* H9 Q# g! F8 }; F
/*Wait for power state transition to finish*// z4 d; N& Z& W& Q$ {* p" e
while( (PSC0_PTSTAT & (0x1<<PD) ) !=0) {
' A1 U9 G" r# O. Z; x2 r. X- d7 fif( j++ > PSC_TIMEOUT ) {9 V4 K; r9 b9 @7 T6 d
GEL_TextOut("\tPSC0 Enable Transition Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);
1 H, O: O& j( pbreak;5 o3 I& T* w9 g2 k9 _3 y+ E
}
- |; _$ s' T2 K- R}
j = 0;5 E; b- }. l3 l5 I0 R
while( (*(unsigned int*)(PSC0_MDSTAT+4 * LPSC_num) & 0x1F) !=0x3) {2 N  }; Z$ v, a0 y
if( j++ > PSC_TIMEOUT ) {
  v! g/ c# g" P5 A& bGEL_TextOut("\tPSC0 Enable Verify Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);
) s" D8 T  A  hbreak;
4 A# S8 n9 e# N) U! I9 o, K}* L; a6 x2 ]4 D9 ~
}3 H! V7 _& _9 i6 _  j
}; f" U/ E+ D) @' t# y
}
1 e* Q% D  x* `/ r- ~3 [1 g
/**********************************************************************************
% N& ]: }& b8 ?DDR Configuration routine:/ j. H. w& v. d' }3 _4 _" P3 u6 u, l
1. DDR Enable& A) A9 e. r( f; @; p& q! h# M% g
2. VTP calibration5 K. }  f6 \1 f, O3 ^  i* e, b
3. Configure DDR
3 d( ^2 h5 Q& p4. Set to self-refresh, enable mclkstop and DDR Sync Reset+ V2 Y  y. R; \8 a: p8 p
5. Enable DDR and disable self-refresh
int freq is MHz
DDR2 = 09 }! R' u3 C1 |# e+ _( M
MDDR = 1
A DDR configuration spreadsheet tool is located here:
9 @3 P6 O0 E% |- B4 c! {http://processors.wiki.ti.com/in ... F_on_OMAP-L1x/C674x
***********************************************************************************/
! T2 M2 `3 O/ x( a// 0xB000 0008 SDCR 0x00134632/ U0 a0 m6 d$ f: U" z
// 0xB000 000C SDRCR 0xC0000260
6 J5 d# X9 ?" q; I4 u9 p. \0 [// 0xB000 0010 SDTIMR1 0x264A2A09
7 r3 k5 e/ N9 s7 b// 0xB000 0014 SDTIMR2 0x4412C722
7 V4 v' o* p7 A- `/ y3 A; q6 K// 0xB000 001C SDCR2 0x00000000, J* L7 g: h1 g' `; H
// 0xB000 00E4 DRPYC1R 0x000000C3$ y& r& y! K( G4 i) B
DEVICE_DDRConfig()
( t2 d( N7 p' j! x{7 |# p7 N$ q& D8 i, J' s
unsigned int j;
: R, w0 M9 l. v6 t& t  hunsigned int tmp_SDCR;
% j; ?' t& J! {! E8 P+ m1 j9 k9 s0 l2 P- ~/ O2 l% h- \, L1 J  o; C
// Enable the Clock to EMIFDDR SDRAM
5 T2 R) R8 w" T: e" k9 Q; @, VPSC1_LPSC_enable(PD0, LPSC_DDR);
// Begin VTP Calibration% d6 O5 o' ^5 P0 X5 H2 _
VTPIO_CTL &= ~0x00000040; // Clear POWERDN% k" w4 \% w5 F/ f8 \
VTPIO_CTL &= ~0x00000080; // Clear LOCK; A/ k+ R' H: G4 L6 S+ S
VTPIO_CTL |= 0x00002000; // Set CLKRZ in case it was cleared before (VTP looks for CLKRZ edge transition)
1 ~+ Y& v) \4 L7 l) d% g1 C: l5 pVTPIO_CTL &= ~0x00002000; // Clear CLKRZ (Use read-modify-write to ensure 1 VTP cycle wait for previous instruction)
9 o4 ?  W- m  T% S& m" P3 fVTPIO_CTL |= 0x00002000; // Set CLKRZ (Use read-modify-write to ensure 1 VTP cycle wait for previous instruction)
j = 0;
0 b. N2 m$ F! X+ s5 j// Polling READY bit to see when VTP calibration is done
4 x7 Z' }  q, A! }6 hwhile((VTPIO_CTL & 0x00008000) == 0) {
5 Y! q9 [5 v1 i, U! d9 @if( j++ > VTP_TIMEOUT ) {1 ]6 m. C( C# Q. G; j5 W4 ~, i
GEL_TextOut("\tVTP Ready timeout\n","Output",1,1,1); * K' z% h) l+ z- f" V) g: i9 {' p
break;
' o! l9 U2 a! Q, z3 a& k8 O}
. t3 u1 p8 j8 l6 C}
VTPIO_CTL |= 0x00000080; // Set LOCK bit for static calibration mode: z  K6 B) T; x( I1 ]( @
VTPIO_CTL |= 0x00000040; // Set POWERDN bit to power down VTP module+ [* p+ m4 `; f: U0 v1 k: l) b
// End VTP Calibration
VTPIO_CTL |= 0x00004000; // Set IOPWRDN to allow powerdown of input receivers when PWRDNEN is set
// **********************************************************************************************; c$ f( f8 ~- y0 w
// Setting based 1Gb DDR2 Samsung K4T1G164QF-BCF8) H  l9 Q& P$ O& K5 d
// Config DDR timings
+ n7 H# [% l! {8 c( Z8 D# ?% ^7 RDRPYC1R = (0x0 << 8) | // Reserved% ~3 A$ X: |$ v$ p: V+ v) v6 o( B
(0x1 << 7) | // EXT_STRBEN0 u3 ^' X" s. `! c. c: A6 \% C6 T
(0x1 << 6) | // PWRDNEN* q/ L+ d8 ^0 n( }; C  J1 d3 C
(0x0 << 3) | // Reserved# I1 ?" J7 [$ k: {0 M* F. @
(0x3 << 0); // RL1 Y8 c6 c  _: ?( k% _4 N
// DRPYC1R Value = 0x000000C3
if( DDR_DEBUG ) {) z/ C# s0 P! L$ H+ K3 T& `' J
// Configure EMIF with max timings for more slack
! w* c+ k3 I0 F( |! t/ N// Try this if memory is not stable
  d, }' G" P9 A' M7 JDRPYC1R |= 0x7; // RL7 W: o6 }# g3 q! x  p3 h
}
EMIFDDR_SDCR |= 0x00800000; // Set BOOTUNLOCK
// Settings depending on DDR2 ; F/ h5 z  B0 |, m9 h
tmp_SDCR = (0x0 << 25) | // MSDRAMEN
9 i3 G+ B% T9 ~, s2 P. S(0x1 << 20); // DDR2EN
2 ~7 a( J/ u2 W* ]. v, d$ U6 \GEL_TextOut("\tUsing DDR2 settings\n","Output",1,1,1);
EMIFDDR_SDCR = tmp_SDCR | // Settings that change depending on DDR2 or MDDR2 c! a" H" I. x
(EMIFDDR_SDCR & 0xF0000000) | // Reserved
8 M( }* _% H8 J4 g- |% d8 T(0x0 << 27) | // DDR2TERM1
% I1 n  S1 H9 K; C7 _- n(0x0 << 26) | // IBANK_POS' o8 x; [2 O2 ^( K. S$ f; z3 j# W! m
(0x0 << 24) | // DDRDRIVE1, J( V0 U, s) `6 ?
(0x0 << 23) | // BOOTUNLOCK1 g: J9 l. _9 k; Q) u, j/ x
(0x0 << 22) | // DDR2DDQS+ k" ?8 o0 X& A2 V
(0x0 << 21) | // DDR2TERM0* z' B2 D. z1 x! q- M
(0x0 << 19) | // DDRDLL_DIS  ?" C. ~( l1 m
(0x0 << 18) | // DDRDRIVE0
- ]* q" ~7 \. |3 v& |3 ?1 t(0x1 << 17) | // DDREN
+ S- p4 C" ]' ~- L3 ]3 P/ c* \(0x1 << 16) | // SDRAMEN
: j7 k$ D5 e6 `  h1 q, B1 V7 f8 _(0x1 << 15) | // TIMUNLOCK
3 m; t# w9 S- V" O2 C(0x1 << 14) | // NM
& f/ J& c- t8 D. ?(0x0 << 12) | // Reserved2 e% d4 C$ |- P$ ]; T6 x
(0x3 << 9) | // CL! ?* b, x' x% U7 s
(0x0 << 7) | // Reserved
% p* u/ ?, x$ s' G6 d(0x3 << 4) | // IBANK9 e+ _$ w' f9 W$ a" d
(0x0 << 3) | // Reserved2 |$ t8 w- f: E, J' p$ l5 {
(0x2 << 0); // PAGESIZE" ^; s3 C# J& W! W0 G. F
6 D' s" G' O# i5 a) b+ I
EMIFDDR_SDCR2 = 0x00000000; // IBANK_POS set to 0 so this register does not apply
if( DDR_DEBUG ) {
) T+ k  C3 n- w// Configure EMIF with max timings for more slack
- S/ s& d+ c, F- ^// Try this if memory is not stable
EMIFDDR_SDTIMR1 = (0x7F << 25) | // tRFC) _  a7 ^" `$ w3 @& i! e' X
(0x07 << 22) | // tRP8 z, A  o2 P1 Y  n  N
(0x07 << 19) | // tRCD
$ \+ d5 Q4 n7 o) j6 T/ ~(0x07 << 16) | // tWR
+ W& K* B/ v4 x& }(0x1F << 11) | // tRAS
' }- ~7 j/ L7 o' w(0x1F << 6) | // tRC
, H4 I8 h/ _- u5 A(0x07 << 3) | // tRRD
5 y& [* o8 S; D/ L! x(EMIFDDR_SDTIMR1 & 0x4) | // Reserved
* |' V$ H. u% @5 ?- W& A* {(0x03 << 0); // tWTR7 O) u, n: u) @5 k$ ^

) H) b: g" z3 e0 G7 y: H( @$ REMIFDDR_SDTIMR2 = (EMIFDDR_SDTIMR2 & 0x80000000) | // Reserved% L, `! O/ o4 G( I
(((unsigned int) ((70000 / 3400) - 0.5)) << 27) | // tRASMAX (original 7812.5)( }+ C8 q! P# F" C, F8 N1 j7 L+ G$ s
(0x3 << 25) | // tXP
  f. L* a4 S2 T) V, D2 h(0x0 << 23) | // tODT (Not supported)3 W( |  E4 e' x, \8 {0 }$ D, ]  e
(0x7F << 16) | // tXSNR
- k8 P. L4 \2 R$ C  v$ b  I(0xFF << 8) | // tXSRD
, n4 |$ h7 X: D: e: u(0x07 << 5) | // tRTP (1 Cycle)1 T0 x2 x% A. W% [0 D" Q
(0x1F << 0); // tCKE
GEL_TextOut("\tDDR Timings Configured for Debug\n","Output",1,1,1);
- P5 z+ m, a: ]! D3 J, e! v2 A& m0 q}
/ i. V$ K9 [$ c8 W+ s6 T2 delse {
% j- @+ W7 y, M) T6 E// Let float -> integer truncate handle minus 1; Safer to round up for timings4 k  i4 s' }/ E* O4 m1 }+ g
EMIFDDR_SDTIMR1 = (19 << 25) | // tRFC  j4 Q  P2 X/ Z% I# c9 u1 q
(1 << 22) | // tRP4 a1 d- i) a4 V
(1 << 19) | // tRCD
4 r9 E6 `( {, S; s(2 << 16) | // tWR- o9 r8 M* a; ?, Z% `
(5 << 11) | // tRAS
* ~; Q  B( l% t4 s, I! a0 `(8 << 6) | // tRC+ t. V! P) Q+ j7 T# [; `$ s& Y
(1 << 3) | // tRRD; Q- Y2 f0 _* z& j% u
(0 << 2) | // Reserved4 S, e. {% k3 v) R9 Q1 O
(1 << 0); // tWTR0 A( H. O5 }8 b" Z# n' L" l; x

" g7 s( y9 w9 b) ]) G  H9 ?EMIFDDR_SDTIMR2 = (EMIFDDR_SDTIMR2 & 0x80000000) | // Reserved3 d4 B. ]( c$ d) k( F& x
(8 << 27) | // tRASMAX# K) B/ }9 |* P$ M
(2 << 25) | // tXP
7 z+ b* Y) N6 S* B(0 << 23) | // tODT (Not supported)( B6 z+ H2 `) o% b) S/ m
(18 << 16) | // tXSNR (tXSR for mDDR)
1 M7 R) y4 X( ]8 q! {: v(199 << 8) | // tXSRD (tXSR for mDDR)' h' h  }8 s3 w4 t5 O2 w( q7 E
(1 << 5) | // tRTP8 r7 r# {7 c( @5 J! r
(2 << 0); // tCKE# k% o- h! _1 C; t% g4 a
}1 S% Y2 I3 R/ b1 P8 s- w! Q
9 }! [& }$ T6 }7 @3 r9 j3 `
EMIFDDR_SDCR &= ~0x00008000; // Clear TIMUNLOCK
// Let float -> integer truncate handle RR round-down; Safer to round down for refresh rate/ F( S% Z2 A, T4 G2 Z$ \9 G
EMIFDDR_SDRCR = (0x1 << 31) | // LPMODEN (Required for LPSC SyncReset/Enable)
- M+ _" S% W8 l  K% F) r% S6 K(0x1 << 30) | // MCLKSTOPEN (Required for LPSC SyncReset/Enable)$ X' J9 x/ |! `0 Q6 Y
(0x0 << 24) | // Reserved
- ^$ Z- R  t/ W" E/ o1 [(0x0 << 23) | // SR_PD
8 o4 @+ j2 O& [% H5 M2 n! n0 S(0x0 << 16) | // Reserved8 v/ F- V0 y+ z+ Q
(0x260 << 0); // RR
// SyncReset the Clock to EMIFDDR SDRAM* E( H. N0 A0 O; H* |" w
PSC1_LPSC_SyncReset(PD0, LPSC_DDR);
// Enable the Clock to EMIFDDR SDRAM5 I2 _  U0 ?) J* {; a2 w
PSC1_LPSC_enable(PD0, LPSC_DDR);
// Disable self-refresh" v, b- h! q: A
EMIFDDR_SDRCR &= ~0xC0000000;
0 A' ^" j! u2 O0 \$ @& K3 ?3 q8 }, h. F; F, z2 h
EMIFDDR_PBBPR = 0x10;
3 Z+ G5 v( L7 E2 _9 l}
// Input clock to device in MHz! X# t' M5 ^4 j( c/ f  J9 _: z
#define OSCIN_FREQ 24
#define ARM_ROM_ID *(unsigned int*) 0xFFFD000c
# N, a3 l; _% r  W0 p- a2 i#define DSP_ROM_ID *(unsigned int*) 0x1170000c
#define ARM_BLCfgStruct *(unsigned int*)0xFFFF0700
6 }9 L  E! I4 }9 B' y. }#define DSP_BLCfgStruct *(unsigned int*)0x11F00700
#define SYS_BASE 0x01C14000
/ C* j% z7 A$ M( f. r( D#define DEV_INFO_24 *(unsigned int*)(SYS_BASE + 0x008)$ q, w4 O, A9 X4 v7 S
#define DEV_INFO_25 *(unsigned int*)(SYS_BASE + 0x00C)4 N% X+ S/ |+ Y+ c' j/ p# k4 q4 r/ g# ~
#define DEV_INFO_06 *(unsigned int*)(SYS_BASE + 0x010)- m% \- K, @" ]# m& e7 q' V- l
#define DEV_INFO_26 *(unsigned int*)(SYS_BASE + 0x014)
! j9 ]3 l1 V. }4 g# P) W- b#define DEV_INFO_00 *(unsigned int*)(SYS_BASE + 0x018): L% T! z* }7 j  n8 y+ j  q' p
#define DEV_INFO_01 *(unsigned int*)(SYS_BASE + 0x01C)
4 ]1 Z" u$ ~/ N; F! M8 t( o#define DEV_INFO_02 *(unsigned int*)(SYS_BASE + 0x020)
" `+ i: D' q# \3 P' x#define DEV_INFO_03 *(unsigned int*)(SYS_BASE + 0x024)# |; _6 z9 m2 v5 ^: }9 x. W4 X
#define DEV_INFO_04 *(unsigned int*)(SYS_BASE + 0x028)
8 H9 W9 j% @: i& D/ D#define DEV_INFO_05 *(unsigned int*)(SYS_BASE + 0x02C)
9 o5 B/ |+ u! p( ~. o6 c9 i#define DEV_INFO_11 ((DEV_INFO_24>>0) & 0xFFF)7 Q. z5 ]  I. t/ ?
#define DEV_INFO_12 ((DEV_INFO_24>>12) & 0xFFF)  Q! D% c8 T) T4 ^- y' X4 c
#define DEV_INFO_10 ((DEV_INFO_24>>24) & 0x3F)1 q! ?# T3 J$ g) f
#define DEV_INFO_09 ((DEV_INFO_25>>0) & 0xFFFFFF)4 Q! `7 H3 K. N0 M2 _8 g- p, {
#define DEV_INFO_07 ((DEV_INFO_25>>24) & 0x1F)
" F6 Q7 O1 Y! ~* ]/ [6 E( m; o# |& d#define DEV_INFO_08 ((DEV_INFO_25>>29) & 0x7)( L; j; k4 h  e0 p, t5 w% _
#define DEV_INFO_13 ((DEV_INFO_26>>0) & 0x1F)4 u* Q+ y" L7 G% P1 D
#define DEV_INFO_14 ((DEV_INFO_26>>5) & 0x1)0 k2 G3 U$ T; G
#define DEV_INFO_15 ((DEV_INFO_26>>6) & 0x7FF)# ]$ J5 i7 K0 V$ o
#define DEV_INFO_16 ((DEV_INFO_26>>17) & 0x3FFF)  j; ?' z4 w# X; |2 z
#define KEY_BASE 0x01C12000
# G0 M3 X- ~5 d) S# e0 X#define DEV_INFO_17 *(unsigned int*)(KEY_BASE + 0x004)
6 f1 D. J, A" D* p" k) y#define DEV_INFO_18 *(unsigned int*)(KEY_BASE + 0x008)
! u2 w/ {; q; }; v+ m#define DEV_INFO_19 ((DEV_INFO_17>>8) & 0x1F); J/ d9 J; P7 ?) O5 ~( w2 k8 F! E
#define DEV_INFO_20 *(unsigned int*)(0x11700008)
$ L0 }' B$ {: }7 r, B# i9 U#define DEV_INFO_21 *(unsigned int*)(0x1170000C)
7 G6 Y3 x7 ?2 V$ I, B#define DEV_INFO_22 *(unsigned int*)(0xFFFD0008)
. P, w* P  s, _3 ~" w/ y3 T#define DEV_INFO_23 *(unsigned int*)(0xFFFD000C)
& X! o0 D4 ?8 l, B( }4 e2 a) I#define BOOTCFG *(unsigned int*)(SYS_BASE + 0x020) //BOOTCFG
#define PLLC0_BASE_ADDRESS 0x01C11000! u* L6 v. E: b- M& L2 `" k
#define PLLC1_BASE_ADDRESS 0x01E1A000
! M- [" k% S0 Q& z7 j6 I% `9 M' Z* O0 {3 S#define PLLCTL_OFFSET 0x100
8 v6 F, c, j6 h% f2 @5 P& m#define OCSEL_OFFSET 0x104. T1 A, x* G* `* |5 ~
#define PLLM_OFFSET 0x110/ t2 H) z9 x8 b
#define PREDIV_OFFSET 0x1146 z/ g; Y9 S: D. h
#define PLLDIV1_OFFSET 0x118
8 Y' D# S9 H2 y$ w- g2 j* v2 q1 x! p#define PLLDIV2_OFFSET 0x11C
0 f# u/ ~4 p8 e* ?+ V- ~6 \#define PLLDIV3_OFFSET 0x120
$ K2 [2 W, i; I+ G' Z) W#define OSCDIV_OFFSET 0x124
& `! i% y$ S5 X- k  d) K2 r#define POSTDIV_OFFSET 0x128) [0 Y" o4 }0 ~: f0 A$ f
#define PLLDIV4_OFFSET 0x160
+ [$ N% q; j# }# P+ ]" `#define PLLDIV5_OFFSET 0x1645 Y) z( g4 y% f4 K' C. R
#define PLLDIV6_OFFSET 0x168
8 e& P; U$ N/ D5 P#define PLLDIV7_OFFSET 0x16C
#define CFGCHIP3 *(unsigned int*)(0x01C14188)
menuitem "Diagnostics"
: r1 q( d3 \+ i& t, Ehotmenu Run_All()- V# M- X; I3 \/ H5 _% J0 @+ ^
{
: u2 s2 `# L8 S- c1 ]+ }GEL_MapOff( );5 o  `6 |  @) w7 V8 i7 \
Print_Device_Info();
$ ?" g* N( `2 R  K4 y5 OPrint_ROM_Info();
" V0 d  G$ n3 R  _1 f: I! v2 R" mPrint_PLL_Configuration();6 @% {: @( V, O$ s
Print_PSC_Status();
; C* r# y8 |+ O, s1 r2 a0 q}
4 G8 A+ [6 K' u
menuitem "Diagnostics" ( B& o+ v7 O' k
hotmenu Print_ROM_Info()
: ~( D' I' G2 b) h) V7 j{
9 w& w8 o+ x7 q' |6 Pint errorCode; / s5 K- t8 |/ @1 y
int boot_config;
) p% O" \$ F& r9 a" F) Uint revision2, revision1, revision0;
3 C+ s' p1 G, z. E+ cint arm_dsp;, t7 E  Z( l) Z' g* Y! P( }
int rom_id;/ K# W2 v$ g0 P# N# m) O
unsigned int BLCfgStruct;
GEL_TextOut("---------------------------------------------\n",,,,);% ~0 D6 }0 N6 _6 p# N
GEL_TextOut("| BOOTROM Info |\n",,,,);: d1 \4 E+ n7 T; b% z: N# K
GEL_TextOut("---------------------------------------------\n",,,,);
/ q3 q6 T- w0 f  O- ]; P' c8 s" o' ~6 q) a: }
arm_dsp = ((ARM_ROM_ID & 0xFF) == 0x6B) ? 1 : 0;
rom_id = (arm_dsp) ? ARM_ROM_ID : DSP_ROM_ID;
revision0 = ((rom_id & 0xFF000000) >>24) - 48;
- F% T4 E7 H' i8 j( E8 zrevision1 = ((rom_id & 0xFF0000) >>16) - 48; & G: g& b! E7 c" v" W2 L8 ?% E
revision2 = ((rom_id & 0xFF00) >>8) - 48;
GEL_TextOut("ROM ID: d800k%d%d%d \n",,,,, revision2, revision1, revision0);
if(revision0 == 1) GEL_TextOut("Silicon Revision 1.0\n",,,,);) K6 x$ Z6 G) u! N2 Z
else if(revision0 == 2) GEL_TextOut("Silicon Revision 1.0\n",,,,);0 t( T2 ?$ ~0 b. G& \  \$ r8 I
else if(revision0 == 3) GEL_TextOut("Silicon Revision 2.0\n",,,,); : h$ m% u' D, p% v. y
else if(revision0 == 4) GEL_TextOut("Silicon Revision 1.1\n",,,,);# h/ V# z! S; E- n
else if(revision0 == 5) GEL_TextOut("Silicon Revision 2.1\n",,,,); 1 w' F- ^* S3 N! `7 y9 d
else if(revision0 == 6) GEL_TextOut("Silicon Revision 2.0\n",,,,);
+ e3 U6 D1 I4 M9 f( C2 Helse if(revision0 == 8) GEL_TextOut("Silicon Revision 2.1\n",,,,);+ ^- ]+ F5 a) ]0 H8 c& S6 I6 M
else GEL_TextOut("Silicon Revision UNKNOWN\n",,,,);

0 S/ m) \9 Y9 W) E: b0 Lboot_config = BOOTCFG;* v2 ]3 O$ S# c& M) t9 B; F& k$ b% r
GEL_TextOut("Boot pins: %d\n",,,,, boot_config);
/ r+ ^# `3 B" |4 v6 [/ t1 e3 _if((revision0 % 2) == 1) {
5 t( N" i+ M9 Q6 B5 |if((boot_config & 0x87) == 0x01) GEL_TextOut("Boot Mode: NOR (%x)\n",,,,,boot_config);
0 |4 K' t1 ]4 e7 R: c7 _0 ]else if((boot_config & 0x87) == 0x02) GEL_TextOut("Boot Mode: HPI (%x)\n",,,,,boot_config);
- M# r5 x- q" @% Belse if((boot_config & 0x87) == 0x05) GEL_TextOut("Boot Mode: SPI0 Flash (%x)\n",,,,,boot_config);
) q' G) F7 j$ M. P# o0 \5 y6 Yelse if((boot_config & 0x87) == 0x06) GEL_TextOut("Boot Mode: SPI1 Flash (%x)\n",,,,,boot_config);
& @4 z4 `2 K/ E4 M- x3 helse if((boot_config & 0x87) == 0x07) GEL_TextOut("Boot Mode: NAND 8 (%x)\n",,,,,boot_config);
6 J9 J( G; R5 \2 }; q6 oelse if((boot_config & 0x8F) == 0x80) GEL_TextOut("Boot Mode: NAND 16 (%x)\n",,,,,boot_config);
4 E+ i5 n* ?8 V; D& Oelse if((boot_config & 0x8F) == 0x00) GEL_TextOut("Boot Mode: I2C0 Master (%x)\n",,,,,boot_config);" C+ K- a% _  L5 z( T/ O- `
else if((boot_config & 0x8F) == 0x08) GEL_TextOut("Boot Mode: I2C0 Slave (%x)\n",,,,,boot_config);
; k- q0 E9 A) U4 W- Q3 o0 [7 A0 celse if((boot_config & 0x8F) == 0x03) GEL_TextOut("Boot Mode: I2C1 Master (%x)\n",,,,,boot_config);# J5 W, R0 A# r
else if((boot_config & 0x8F) == 0x0B) GEL_TextOut("Boot Mode: I2C1 Slave (%x)\n",,,,,boot_config);
% ?$ ~$ G+ O- O1 {. L' Belse if((boot_config & 0x8F) == 0x04) GEL_TextOut("Boot Mode: SPI0 EEPROM (%x)\n",,,,,boot_config);# x, A) |) v1 v9 u
else if((boot_config & 0x8F) == 0x0C) GEL_TextOut("Boot Mode: SPI1 EEPROM (%x)\n",,,,,boot_config);0 Y9 E. a- v8 V) C! Q1 ^
else if((boot_config & 0x8F) == 0x81) GEL_TextOut("Boot Mode: SPI0 Slave (%x)\n",,,,,boot_config);
- a) T: S( A* M1 R2 w  aelse if((boot_config & 0x8F) == 0x89) GEL_TextOut("Boot Mode: SPI1 Slave (%x)\n",,,,,boot_config);
7 _1 x& F/ ?8 Y$ delse if((boot_config & 0x8F) == 0x83) GEL_TextOut("Boot Mode: UART0 (%x)\n",,,,,boot_config);, q: d4 @1 W" Q5 W; ^; a4 Q. S
else if((boot_config & 0x8F) == 0x8B) GEL_TextOut("Boot Mode: UART1 (%x)\n",,,,,boot_config);
; X6 j# P3 L0 O" I* Yelse if((boot_config & 0x8F) == 0x82) GEL_TextOut("Boot Mode: UART2 (%x)\n",,,,,boot_config);) k2 H# }3 D$ l! ~( ]8 t9 U
else if((boot_config & 0x8F) == 0x87) GEL_TextOut("Boot Mode: Emulation Debug (%x)\n",,,,,boot_config);
" ]: n# o5 j+ P" z" l0 }7 Pelse GEL_TextOut("Boot Mode: INVALID (%x)\n",,,,,boot_config);
8 ^: h! Y* B2 Z4 L}: j; T$ X; u+ I1 `7 l
else{
# w* Q: x( [0 L+ }if(boot_config == 0x02) GEL_TextOut("Boot Mode: NOR\n",,,,);- d$ T" N  K& A6 u
else if(boot_config == 0x0E) GEL_TextOut("Boot Mode: NAND 8\n",,,,);
4 o* H  }8 n5 Z& K/ z% y0 l, U; yelse if(boot_config == 0x10) GEL_TextOut("Boot Mode: NAND 16\n",,,,); 0 z- x( w% W, x6 J5 E' T
else if(boot_config == 0x00) GEL_TextOut("Boot Mode: I2C0 EEPROM\n",,,,);
5 m  h% _3 K5 h! i7 _else if(boot_config == 0x06) GEL_TextOut("Boot Mode: I2C1 EEPROM\n",,,,);
$ C" x4 D$ n7 z; {- @0 I! Felse if(boot_config == 0x01) GEL_TextOut("Boot Mode: I2C0 Slave\n",,,,);; Y! \  O. ]: Y+ ]" g5 E4 G* w  `
else if(boot_config == 0x07) GEL_TextOut("Boot Mode: I2C1 Slave\n",,,,);
* O) X: t  A& Z# Nelse if(boot_config == 0x08) GEL_TextOut("Boot Mode: SPI0 EEPROM\n",,,,);; X2 |. u9 z2 U2 ?' ~9 u
else if(boot_config == 0x09) GEL_TextOut("Boot Mode: SPI1 EEPROM\n",,,,);- e, H# G% _& B7 l! Q- [; t
else if(boot_config == 0x0A) GEL_TextOut("Boot Mode: SPI0 Flash\n",,,,);6 O4 l8 k& V/ D/ k, _6 p
else if(boot_config == 0x0C) GEL_TextOut("Boot Mode: SPI1 Flash\n",,,,);( @  Q; _2 ~: j
else if(boot_config == 0x12) GEL_TextOut("Boot Mode: SPI0 Slave\n",,,,);4 j" D, [. ~4 Q
else if(boot_config == 0x13) GEL_TextOut("Boot Mode: SPI1 Slave\n",,,,);1 \; G" {" `7 L1 s  n# I' S  x
else if((boot_config & 0x3F) == 0x1C) GEL_TextOut("Boot Mode: SDMMC0\n",,,,);+ h! l# A  [, h
else if((boot_config & 0x3F) == 0x3C) GEL_TextOut("Boot Mode: SDMMC0, MMC mode\n",,,,);
4 P. v4 p* M3 X) T$ Celse if((boot_config & 0x1F) == 0x16) GEL_TextOut("Boot Mode: UART0\n",,,,);% A; I2 O4 k- q" d* d
else if((boot_config & 0x1F) == 0x17) GEL_TextOut("Boot Mode: UART1\n",,,,);7 \8 s$ b) I7 d6 I7 {
else if((boot_config & 0x1F) == 0x14) GEL_TextOut("Boot Mode: UART2\n",,,,);
8 F2 L' c7 ^3 {( i% S' uelse if(boot_config == 0x04) GEL_TextOut("Boot Mode: HPI\n",,,,);
+ e  Z9 I; E7 R: X' Velse if(boot_config == 0x1E) GEL_TextOut("Boot Mode: Emulation Debug\n",,,,);: p+ Z% {, J* O5 b
else if(boot_config == 0x1C && revision0 > 6) GEL_TextOut("Boot Mode: MMCSD0\n",,,,);
/ j$ t& a/ @3 x9 a1 w8 \# w; d7 Pelse GEL_TextOut("Boot Mode: INVALID (%x)\n",,,,,boot_config);( {! p  z, `/ y- n" g! u* n
1 d6 b9 i# N2 @2 V# R
if((boot_config & 0x1F) == 0x16 || (boot_config & 0x1F) == 0x17 || (boot_config & 0x1F) == 0x14) {
/ D) f+ L6 E8 p5 r, e( Rif(((boot_config & 0xE0) >> 5) == 0) GEL_TextOut("24 MHz or 12 MHz input clock\n",,,,);  _" |+ e* l1 d; L: r
if(((boot_config & 0xE0) >> 5) == 1) GEL_TextOut("27 MHz or 13.5 MHz input clock\n",,,,);+ F; ]) ^& z. ]6 L4 h" Y) f  j' k& L
if(((boot_config & 0xE0) >> 5) == 2) GEL_TextOut("30 MHz or 15 MHz input clock\n",,,,);, P0 j$ [. Y9 O
if(((boot_config & 0xE0) >> 5) == 3) GEL_TextOut("16.8 MHz input clock\n",,,,);& m6 p0 }3 o& V- S' p6 ^
if(((boot_config & 0xE0) >> 5) == 4) GEL_TextOut("19.2 MHz input clock\n",,,,);1 ^8 D1 [& d/ C/ T
if(((boot_config & 0xE0) >> 5) == 5) GEL_TextOut("24.576 MHz or 12.288 MHz input clock\n",,,,);
, S: J. W) g0 zif(((boot_config & 0xE0) >> 5) == 6) GEL_TextOut("25 MHz input clock\n",,,,);" a) h+ m) @  I/ P) y
if(((boot_config & 0xE0) >> 5) == 7) GEL_TextOut("26 MHz or 13 MHz input clock\n",,,,);
3 x, G# b2 ~1 ^6 ?, h$ o! E) Y2 `! A1 c
}
1 w- H* }" z; P}: q! o1 W& M( _" V) Z; c2 G

  k/ v" ~6 u9 L1 d: c7 Mif((revision0 % 2) == 1) {
9 G7 @9 t5 M' p7 d! u2 [BLCfgStruct = arm_dsp ? ARM_BLCfgStruct : DSP_BLCfgStruct;
4 o3 O. X* S9 k}- T" ^+ k0 F# K1 m
else {
2 m5 J6 Z, V& e9 |BLCfgStruct = arm_dsp ? ARM_BLCfgStruct : DSP_BLCfgStruct;. {  _4 ^- ^' F
}
errorCode = (BLCfgStruct >> 8) & 0xFF;
6 R6 [" k1 v7 k( P1 {" [4 y9 ]/ eGEL_TextOut("ROM Status Code: %x\n",,,,, errorCode);
if(revision0 == 1) {
! {+ e8 N. b7 I& w. |7 Nif(errorCode == 0) GEL_TextOut("No error\n",,,,);
+ @6 k9 X% h8 w8 Z$ }& jelse if(errorCode == 1) GEL_TextOut("Unknown error\n",,,,);
8 }- k, @# j9 n3 b( y/ V( z' felse if(errorCode == 2) GEL_TextOut("Invalid (or no action) boot mode\n",,,,);
- G$ T  ]6 d+ |6 Relse if(errorCode == 3) GEL_TextOut("Function not allowed\n",,,,);
6 ]8 }  m) y/ L' C; X* melse if(errorCode == 4) GEL_TextOut("This code should not execute\n",,,,);' o5 {* `9 D0 W$ W
else if(errorCode == 5) GEL_TextOut("Waiting to get reset\n",,,,);
9 s8 T+ V- C5 R% s( R% ]0 D  ^- g# delse if(errorCode == 6) GEL_TextOut("Invalid bits for device\n",,,,);; }5 G* U3 ]4 P* [
else if(errorCode == 7) GEL_TextOut("Invalid device type\n",,,,);
( L2 e: ]% w$ R" |" m7 e7 lelse if(errorCode == 8) GEL_TextOut("Invalid device number\n",,,,);( u: j) I% s  r: G; o) G" R) j
else if(errorCode == 9) GEL_TextOut("Invalid address range\n",,,,,);# J0 `2 j% j- B" q* V
else if(errorCode == 10) GEL_TextOut("Not supported for non-secure device\n",,,,);- x% x; V7 \2 W7 q; o1 @
else if(errorCode == 11) GEL_TextOut("Invalid password\n",,,,);
6 v, s4 x- j+ ~( r& Celse if(errorCode == 12) GEL_TextOut("Not supported for secure device\n",,,,);
8 L7 A+ u# S9 Y( Z1 \9 ?3 Jelse if(errorCode == 13) GEL_TextOut("Secure ROM checksum failed\n",,,,);
3 G: r8 `; U" d# J5 belse if(errorCode == 14) GEL_TextOut("Invalid RPK\n",,,,);) r7 y4 Z% S7 w$ ^3 y5 i
else if(errorCode == 15) GEL_TextOut("Invalid signature\n",,,,);' W1 ]3 C& P: i# b0 s: j, T6 ]7 l
else if(errorCode == 16) GEL_TextOut("Buffer overflow\n",,,,);
2 n2 k+ ^6 T6 G4 ~" D. K- Celse if(errorCode == 17) GEL_TextOut("Invalid AIS keyword\n",,,,);
9 I  _/ V- I+ d2 p9 Z( r) zelse if(errorCode == 18) GEL_TextOut("Invalid AIS sync opcode\n",,,,);
: V# O% j! G4 V/ L" d/ C8 {+ Xelse if(errorCode == 19) GEL_TextOut("Error parsing AIS opcode\n",,,,);" K1 _* M' w  |2 a
else if(errorCode == 20) GEL_TextOut("Invalid AIS format\n",,,,);
7 J1 g3 R2 y6 n0 @( pelse if(errorCode == 21) GEL_TextOut("Invalid AIS state\n",,,,);
) j/ t  G& V" Telse if(errorCode == 22) GEL_TextOut("Invalid type in AIS boot table command\n",,,,);
# Z) _: m7 d" B8 Z% z' t% y; a1 Qelse if(errorCode == 23) GEL_TextOut("Invalid type in AIS section fill command\n",,,,);3 k. p( \' C0 H& B0 N0 g
else if(errorCode == 24) GEL_TextOut("Invalid function index\n",,,,);" Q! H. M8 _2 @& w8 V# i
else if(errorCode == 25) GEL_TextOut("Invalid argument count\n",,,,);: s' t) Y( R0 ]: q- r# b
else if(errorCode == 26) GEL_TextOut("Too many CRC errors\n",,,,);
6 V% {1 b; z/ Q  G3 ]5 H2 @else if(errorCode == 27) GEL_TextOut("Invalid NOR configuration word\n",,,,);. c1 N+ f) s5 i8 ^1 H% X
else if(errorCode == 28) GEL_TextOut("SPI bit error\n",,,,);
' ]  x7 w% y1 Helse if(errorCode == 29) GEL_TextOut("Invalid character received by UART\n",,,,);! E% M( ]" q. R5 K; E& B
else if(errorCode == 30) GEL_TextOut("UART Overrun Error\n",,,,);, h+ x' I# @1 ^6 w! g$ T
else if(errorCode == 31) GEL_TextOut("UART Parity Error\n",,,,);
3 J3 K6 x3 g1 B8 s* ielse if(errorCode == 32) GEL_TextOut("UART Frame Error\n",,,,);
4 j$ p6 ?1 `$ Qelse if(errorCode == 33) GEL_TextOut("UART Break Indicator\n",,,,);3 e1 R% _6 o3 k& T; @3 w
else GEL_TextOut("Error code not recognized\n",,,,);) A( r% _6 F7 `5 g& I# Q; _
}6 ?+ X2 }; z" {0 i6 Y# N" S! J
else {
0 |2 K$ F: E5 \0 e1 ]if(errorCode == 0) GEL_TextOut("No error\n",,,,);$ Y/ i1 q, T" }& w3 g% H5 N' M
else if(errorCode == 1) GEL_TextOut("DSP was put to sleep\n",,,,);4 e7 [( ]8 B( U& w# V
else if(errorCode == 2) GEL_TextOut("Unknown error\n",,,,);/ z/ H% i+ R! p
else if(errorCode == 3) GEL_TextOut("One-time Device Init failed\n",,,,);
6 |, V: S" \( i- f  aelse if(errorCode == 4) GEL_TextOut("One-time Device finalize failed\n",,,,);; z0 [- ^: @/ E" Q- }8 k
else if(errorCode == 5) GEL_TextOut("Peripheral Open Failed\n",,,,);
' a2 x) N' D( b! pelse if(errorCode == 6) GEL_TextOut("Peripheral Close Failed\n",,,,);
+ C: z/ a* G) `else if(errorCode == 7) GEL_TextOut("Invalid (or no action) boot mode\n",,,,);
1 M' U8 [  D8 y+ K" [+ J/ ielse if(errorCode == 8) GEL_TextOut("Invalid peripheral number\n",,,,);! ?- J3 T; \2 ^
else if(errorCode == 9) GEL_TextOut("Invalid AIS keyword\n",,,,,);
5 n8 u/ D7 G( j9 u7 S0 }  pelse if(errorCode == 10) GEL_TextOut("Invalid AIS sync opcode\n",,,,);
" `6 V" L* Y/ H: U3 \else if(errorCode == 11) GEL_TextOut("Error parsing AIS opcode\n",,,,);! i3 @$ [/ \* V1 ~$ j- M
else if(errorCode == 12) GEL_TextOut("Invalid AIS state\n",,,,);
( J6 b2 J- V9 D! G! W$ m: ]- Zelse if(errorCode == 13) GEL_TextOut("Invalid type in AIS boot table command\n",,,,);5 `! s  ?" X# D0 Z; n3 U2 j
else if(errorCode == 14) GEL_TextOut("Invalid type in AIS section fill command\n",,,,);5 K7 r. B) a9 I
else if(errorCode == 15) GEL_TextOut("Invalid function index\n",,,,);) @$ B, r8 X, P5 L: ?" Z$ J5 a$ ?& A
else if(errorCode == 16) GEL_TextOut("Invalid argument count\n",,,,);
. f: |+ c" O( Gelse if(errorCode == 17) GEL_TextOut("Function execute command failed\n",,,,);
& }' D. Z! G" R& xelse if(errorCode == 18) GEL_TextOut("Too many CRC errors\n",,,,);
* n3 x2 z, o) ^! G* Velse if(errorCode == 19) GEL_TextOut("Invalid NOR configuration word\n",,,,);; G" M+ f0 b- I0 f: I
else if(errorCode == 20) GEL_TextOut("SPI bit error\n",,,,);
. N6 k) k1 h/ T- kelse if(errorCode == 21) GEL_TextOut("Invalid character received by UART\n",,,,);
6 P- w  P. w  D! w3 melse if(errorCode == 22) GEL_TextOut("UART Overrun Error\n",,,,);
, S9 q( N; w; B* F' C/ v: `else if(errorCode == 23) GEL_TextOut("UART Parity Error\n",,,,);* A( J0 O* @$ o/ @+ `# V$ s4 y
else if(errorCode == 24) GEL_TextOut("UART Frame Error\n",,,,);
4 U, z; d0 }, B; Kelse if(errorCode == 25) GEL_TextOut("UART Break Indicator\n",,,,);
0 O: R2 @' F8 h* r( l& f, aelse if(errorCode == 26) GEL_TextOut("NAND read page failed\n",,,,);
9 y8 f$ K4 h& q2 P3 yelse if(errorCode == 27) GEL_TextOut("SDMMC read error\n",,,,);! u: @0 E2 Q& o# r( |
else if(errorCode == 128+1) GEL_TextOut("Secure key has not been installed\n",,,,);
' k1 h! u1 p+ S3 Qelse if(errorCode == 128+2) GEL_TextOut("Invalid Boot exit type\n",,,,);- L8 ?6 v5 z6 I  l9 [% m2 a0 K. m
else if(errorCode == 128+3) GEL_TextOut("Waiting to get reset\n",,,,);
. y  G) Z8 u9 Welse if(errorCode == 128+4) GEL_TextOut("Invalid type of device\n",,,,);
4 r) a! q, H: W0 @* u+ E7 Xelse if(errorCode == 128+5) GEL_TextOut("Invalid address range\n",,,,);7 P5 R0 E) m/ S* e$ F/ Y2 p; S3 ^( v  u
else if(errorCode == 128+6) GEL_TextOut("Not supported for non-secure device\n",,,,);9 T8 f' w4 L' v& @/ E
else if(errorCode == 128+7) GEL_TextOut("Invalid password\n",,,,);
0 d: u2 d/ O% l/ ^; P0 Relse if(errorCode == 128+8) GEL_TextOut("Not supported for secure device\n",,,,);
7 ^  A) k* Y8 I5 U! N# o/ u& Melse if(errorCode == 128+9) GEL_TextOut("Secure ROM checksum failed\n",,,,);
" k: ~: W$ w1 \: helse if(errorCode == 128+10) GEL_TextOut("Invalid RPK\n",,,,);
- o: L3 ?0 W8 j# aelse if(errorCode == 128+11) GEL_TextOut("Invalid signature\n",,,,);. E4 F0 P/ v* Q# o1 [& v
else if(errorCode == 128+12) GEL_TextOut("AIS command not allowed for this device type\n",,,,);
" r! k, S% M; }8 i! ?" i. belse if(errorCode == 128+13) GEL_TextOut("Secure Loading failure\n",,,,);
, b+ g) T& l' U/ q$ Delse if(errorCode == 128+14) GEL_TextOut("Function not allowed\n",,,,);) g! x+ d) z! \
else if(errorCode == 128+15) GEL_TextOut("JTAG Read failed\n",,,,);$ \) _, B% q0 N/ M2 \, ?
else GEL_TextOut("Error code not recognized\n",,,,);
/ }* `! C, |$ x- C# t6 F}
2 x  C# ^% {* Z0 MGEL_TextOut("Program Counter (PC) = %x\n",,,,,PC);; U3 [& m% {9 s3 r, t
}
menuitem "Diagnostics"
- Y6 M, ]; Y7 }$ t% khotmenu Print_Device_Info()" \4 [7 g( f) U' h
{, Y- ~0 b2 T* Y: u+ ]+ S, ~3 o! ^( h
int j;& W/ H- P# w  _% u% K5 O
char k = 65;
GEL_TextOut("---------------------------------------------\n",,,,);" k# B! p( _9 R' j  R6 L7 f
GEL_TextOut("| Device Information |\n",,,,);
% V4 j, z0 W5 [) \3 V$ e( YGEL_TextOut("---------------------------------------------\n",,,,);
$ J( t4 ^, J3 z% fGEL_TextOut("DEV_INFO_00 = %x\n",,,,,DEV_INFO_00);+ L! W" K& O/ Z/ g7 Y
GEL_TextOut("DEV_INFO_01 = %x\n",,,,,DEV_INFO_01);
! g$ n4 D& T& c% l2 P) HGEL_TextOut("DEV_INFO_02 = %x\n",,,,,DEV_INFO_02);
& a. U0 K  t2 u) X7 L4 K4 A9 PGEL_TextOut("DEV_INFO_03 = %x\n",,,,,DEV_INFO_03);+ r, Y6 N. |- W( W
GEL_TextOut("DEV_INFO_04 = %x\n",,,,,DEV_INFO_04);; p' }8 b8 R- j
GEL_TextOut("DEV_INFO_05 = %x\n",,,,,DEV_INFO_05);5 u; t$ n6 y- o/ U( a" [
GEL_TextOut("DEV_INFO_06 = %x\n",,,,,DEV_INFO_06);( O2 N( |2 ^0 p1 n- n3 I
GEL_TextOut("DEV_INFO_07-DEV_INFO_08-DEV_INFO_09-DEV_INFO_10-DEV_INFO_11-DEV_INFO_12 = %d-%d-%d-%d-%d-%d\n",,,,,DEV_INFO_07,DEV_INFO_08,DEV_INFO_09,DEV_INFO_10,DEV_INFO_11,DEV_INFO_12);
% A4 y! i; d6 rGEL_TextOut("DEV_INFO_13,DEV_INFO_14,DEV_INFO_15,DEV_INFO_16 = %d,%d,%d,%d\n",,,,,DEV_INFO_13,DEV_INFO_14,DEV_INFO_15,DEV_INFO_16);* k, n& k. T; {  N
GEL_TextOut("-----\n",,,,);
4 l% o! m/ {8 o9 aGEL_TextOut("DEV_INFO_17 = %x\n",,,,,DEV_INFO_17);
( ~0 X3 U5 Q: p8 P2 T3 ~4 I& ZGEL_TextOut("DEV_INFO_18 = %x\n",,,,,DEV_INFO_18);
4 t/ H# G8 A) x) A$ F, Y0 Y6 KGEL_TextOut("DEV_INFO_19 = %x\n",,,,,DEV_INFO_19);
. {% o  ]& i6 Z; hGEL_TextOut("-----\n",,,,);& H* l+ P- ~1 t/ i& l9 e
GEL_TextOut("DEV_INFO_20 = %x\n",,,,,DEV_INFO_20);- c# X% h- J0 u% S' q$ M! A
GEL_TextOut("DEV_INFO_21 = %x\n",,,,,DEV_INFO_21);
! r  R# N! ?: ^/ A5 u- cGEL_TextOut("DEV_INFO_22 = %x\n",,,,,DEV_INFO_22);: ]* O2 v6 R) D' ]7 `8 h
GEL_TextOut("DEV_INFO_23 = %x\n",,,,,DEV_INFO_23);; I/ E; V1 u0 \3 a# i, }* d
GEL_TextOut("-----\n",,,,);
4 ?) h5 x2 Y3 @6 U% ]+ qGEL_TextOut("DEV_INFO_24 = %x\n",,,,,DEV_INFO_24);
0 o9 I0 @, Z: G; |- UGEL_TextOut("DEV_INFO_25 = %x\n",,,,,DEV_INFO_25);$ l) t9 O) ^$ W( N' |1 t& y* L
GEL_TextOut("DEV_INFO_06 = %x\n",,,,,DEV_INFO_06);
! @$ G5 s6 E; I/ jGEL_TextOut("DEV_INFO_26 = %x\n",,,,,DEV_INFO_26);, X' x, }9 u( c
GEL_TextOut("\n\n",,,,);3 J1 {# J9 t' E- |1 }
}
/ I9 }8 [7 u3 z4 K3 _
menuitem "Diagnostics"% u* Y- l- j$ M( o" d8 U! C! B
hotmenu Print_PLL_Configuration()( ?& `; B8 G4 G
{/ v# e7 _2 m' T, _5 D( v9 I; h
// PLL0 registers8 f, O$ x! {9 @
unsigned int pll0_ocsel, pll0_pllm, pll0_prediv, pll0_postdiv;3 W) T5 b! W0 [- _+ _+ f
unsigned int pll0_plldiv1, pll0_plldiv2, pll0_plldiv3, pll0_plldiv4;
/ n+ C$ e9 Q( Z% h6 v3 N' Junsigned int pll0_plldiv5, pll0_plldiv6, pll0_plldiv7, pll0_pllctl;
// PLL0 clocks" q5 {' r4 j4 o0 K
unsigned int pll0clk_prediv, pll0clk_pllen;4 g  J3 M2 c1 J8 {
unsigned int pll0clk_pllout, pll0clk_pllout_postdiv, pll0clk_sysclk1;5 G% A/ G3 v" {4 M9 e& p2 f, j
unsigned int pll0clk_sysclk2, pll0clk_sysclk3, pll0clk_sysclk4, pll0clk_sysclk5;% l$ T8 }7 h" ?9 E' T8 L' F* z
unsigned int pll0clk_sysclk6, pll0clk_sysclk7;
// PLL1 registers% Q& d) {2 k# o* Y5 ]/ b2 `
unsigned int pll1_pllctl, pll1_ocsel, pll1_pllm, pll1_postdiv;
' Y+ E, u, y/ Punsigned int pll1_plldiv1, pll1_plldiv2, pll1_plldiv3;
// PLL1 clocks- X. F1 c8 J# s; c4 B
unsigned int pll1clk_pllout, pll1clk_pllout_postdiv, pll1clk_pllen;  |+ ?" G# f1 S: r! `
unsigned int pll1clk_sysclk1, pll1clk_sysclk2, pll1clk_sysclk3;
// Device in use4 t3 N* n6 |/ b; K6 s' k
unsigned int arm_dsp, rom_id, revision0;
3 N5 h, g1 m0 O' q9 E  Iarm_dsp = ((ARM_ROM_ID & 0xFF) == 0x6B) ? 1 : 0;$ K3 m; ]9 |4 d5 m1 r, f. |
rom_id = (arm_dsp) ? ARM_ROM_ID : DSP_ROM_ID;
2 Z. [/ s9 j" J2 X& G% X# G$ P$ p8 a0 vrevision0 = ((rom_id & 0xFF000000) >>24) - 48;
/***** Calculate PLL1 clock values first since PLL1 can be input to PLL0 */
if ((revision0%2) == 0) //PLL1 exists only on OMAP-L138 and pin-for-pin compatible
9 i9 c. ]% Q1 r0 u{
- e! Z$ z" E6 F% Opll1_pllctl = *(unsigned int*)(PLLC1_BASE_ADDRESS + PLLCTL_OFFSET);
! R; w& n( S' g/ _; y; vpll1_pllm = *(unsigned int*)(PLLC1_BASE_ADDRESS + PLLM_OFFSET);# J4 m4 c4 r$ ~( c) X& p# D
pll1_postdiv = *(unsigned int*)(PLLC1_BASE_ADDRESS + POSTDIV_OFFSET);
4 l4 V) j! L* npll1_plldiv1 = *(unsigned int*)(PLLC1_BASE_ADDRESS + PLLDIV1_OFFSET);9 s7 i# Z# u8 Z: u
pll1_plldiv2 = *(unsigned int*)(PLLC1_BASE_ADDRESS + PLLDIV2_OFFSET);
$ V3 L* P( P4 ?" c3 dpll1_plldiv3 = *(unsigned int*)(PLLC1_BASE_ADDRESS + PLLDIV3_OFFSET);1 N$ }6 r6 K1 Y
3 n" m2 L: m0 R  l6 l% g
pll1clk_pllout = OSCIN_FREQ * ((pll1_pllm & 0x1F) + 1);2 G9 {7 T2 T. z7 s: K

9 j- E$ J$ O, {/ }/ e! i& Yif ((pll1_postdiv & 0x8000) == 0x8000)
. d. L( v- s3 e% d2 L# f" [{/ N6 O/ k" f% z. I& ^1 @2 r; N
pll1clk_pllout_postdiv = pll1clk_pllout / ((pll1_postdiv & 0x1F) + 1);0 p! h1 i$ e. @: T
}
2 M1 f9 k1 v; h: v: Pelse
: s$ y5 [- T" V{
! |4 c& E. _) ppll1clk_pllout_postdiv = pll1clk_pllout;8 q3 S" e2 @$ A6 y5 F
}& p+ S7 r) X1 |" b
% p7 c! i1 i8 f  N  U3 z0 ?
if ((pll1_pllctl & 1) == 1)) V& o$ V0 m( d: g& F# O
{: K+ L1 @% C  a/ L: x5 i% W
pll1clk_pllen = pll1clk_pllout_postdiv;
8 @" H2 `  ]& D}/ E2 c. x) N+ x5 K, v  U
else
2 a: @8 L# J6 _+ u+ S{* Z0 d' [5 ?: x
pll1clk_pllen = OSCIN_FREQ;
; @3 [, |+ q; j, S; y4 e" O. h}
4 ~  `$ I/ V* ?" w/ }; Z& ^9 n9 ~6 N0 o, W; o
if ((pll1_plldiv1 & 0x8000) == 0x8000)5 M" W6 {) g1 \% g9 X4 n
{% p0 C' r* I* d/ I6 [6 @4 s
pll1clk_sysclk1 = pll1clk_pllen / ((pll1_plldiv1 & 0x1F) + 1);
* P6 ^$ O2 Z' D  |! A- g} 8 Q) P: ~3 ^7 T( b8 P4 R5 Y/ A
else
1 G! S1 m; P2 @3 ^{2 }9 e/ K! _( d. S; }) O' c* A& E! d8 ?
pll1clk_sysclk1 = pll1clk_pllen;: {5 W% Q. u; E) W6 P3 a
}
& ^+ ^/ U! b: p9 o0 z: H" A+ L* ^. ]0 U3 J
if ((pll1_plldiv2 & 0x8000) == 0x8000). A$ J* Z& G2 q6 x1 e8 N; U4 d5 ^
{
6 p: W- l; ?9 h: y" |; d; \' B( fpll1clk_sysclk2 = pll1clk_pllen / ((pll1_plldiv2 & 0x1F) + 1);
8 d* S0 g$ g- O5 P1 [}
' O" X& Y' D5 xelse9 J* `% j* s8 ?
{
+ [6 G8 X9 ^! |) p3 P0 W+ |; |pll1clk_sysclk2 = pll1clk_pllen;
! v1 A; G/ O& g. _& T}- @: E6 @# h6 ], L) y
/ C5 n9 p( F9 e3 G6 [3 Z
if ((pll1_plldiv3 & 0x8000) == 0x8000)9 b! o3 J0 l6 V6 |
{1 `. W5 E0 Y$ E8 u/ A+ L* _
pll1clk_sysclk3 = pll1clk_pllen / ((pll1_plldiv3 & 0x1F) + 1);
8 c. F1 `2 {8 ]; r0 S& s3 L7 w3 @} 9 ]: m  y3 e+ J
else
% `! e: ~0 B- _{
2 ^4 a. y- |$ F& @. c3 ]  apll1clk_sysclk3 = pll1clk_pllen;. u2 j, D$ o- @: W1 }' t* Z
}& x  Y' Q& H4 `! J' z0 E
}, ?& X* b' Z9 L6 d: Z
# I" U3 z6 a  s% F; [
/***** Calculate PLL0 clock values *****/8 b' u( d3 k0 v5 o
/ C/ k) P2 g8 a' Z$ w% F
pll0_pllctl = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLCTL_OFFSET);5 c" J. q, k1 p4 o
pll0_prediv = *(unsigned int*)(PLLC0_BASE_ADDRESS + PREDIV_OFFSET);
1 U- S- U& ~$ n9 f; jpll0_pllm = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLM_OFFSET);4 z; {9 ?& w, g' ~/ `; `3 |- N
pll0_postdiv = *(unsigned int*)(PLLC0_BASE_ADDRESS + POSTDIV_OFFSET);
, F  _3 z$ M9 w7 _. e- j& I/ Vpll0_plldiv1 = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLDIV1_OFFSET);+ z1 |: Y) t8 ]0 h8 k9 |/ j
pll0_plldiv2 = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLDIV2_OFFSET);7 l! [4 ~# Y0 I* i, ^
pll0_plldiv3 = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLDIV3_OFFSET);3 P* Z. Z" y- |- B* F7 H$ T0 b
pll0_plldiv4 = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLDIV4_OFFSET);
0 G1 e; w- A# j6 fpll0_plldiv5 = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLDIV5_OFFSET);
* E( |3 H; Q. L2 \5 r6 f; b6 |: Xpll0_plldiv6 = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLDIV6_OFFSET);
- ?1 j( w3 W9 B" e, C) Kpll0_plldiv7 = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLDIV7_OFFSET);
if ((pll0_prediv & 0x8000) == 0x8000)
6 W; P' U1 n- H- I) G{
1 w: p( a, z  p1 wpll0clk_prediv = OSCIN_FREQ / ((pll0_prediv & 0x1F) + 1);
& X; I, S' x! p1 h4 r. ?* r4 e7 L}
% [" C! M& c; xelse
+ y* e0 C9 b& P0 S9 F{
! M7 X7 E0 }' J0 zpll0clk_prediv = OSCIN_FREQ;5 ]/ d8 K3 E6 k; K' }5 \# C# g1 I
}
' x6 _. V+ u7 w% a
! T, b. U0 b' F: [pll0clk_pllout = pll0clk_prediv * ((pll0_pllm & 0x1F) + 1);
if ((pll0_postdiv & 0x8000) == 0x8000)7 B5 Q2 F/ L1 N% E0 d' Z% f
{; m0 e3 U. A' S& H
pll0clk_pllout_postdiv = pll0clk_pllout / ((pll0_postdiv & 0x1F) + 1);) _0 r5 d, X3 e- X3 _# r; G) U
}& E/ I* H; [) T) v$ J! j: Y0 F
else
7 g- z% d5 s0 q{; u! c+ a4 N1 S% k: |: u
pll0clk_pllout_postdiv = pll0clk_pllout;
  `4 k9 Q* \1 E- O}7 m# O3 }& A+ x/ o0 P- q

+ E/ X9 v: ], I. v// Check PLLCTL[PLLEN]
+ D! `2 f, m9 y* ~if ((pll0_pllctl & 1) == 1)) p' d% W4 G# T- F
{% f4 |% i( B7 I2 `$ w  @" A
pll0clk_pllen = pll0clk_pllout_postdiv;7 w$ l, O! j0 N7 i8 q
}
# N9 V: P- ?  s+ k  D3 ^$ w' ielse  \. X% A7 w- ^5 I2 V# @3 l6 w' B
{, T9 g  d# C( S# `' P
// Check PLLCTL[EXTCLKSRC]
+ w) r, |5 w8 T# Q/ Jif ( (pll0_pllctl & (1<<9)) == (1<<9) )
7 p* [) A4 @0 k8 t{( t- @1 b. c; Q! }4 i6 {
pll0clk_pllen = pll1clk_sysclk3;
7 }7 a  V  e# w}
8 O) Y7 ?7 H) g5 t, e  L9 felse
7 @1 x. j" T' a- |2 W{$ r3 K# B+ T* z* Q" z3 J
pll0clk_pllen = OSCIN_FREQ;5 F- ^* D$ e$ j0 h8 K& ]
}' Q  M' _: A! H1 [* ?
}
& C4 Z3 W# S6 O" b8 I: W2 e# }+ a# \9 w5 u7 A3 l
if ((pll0_plldiv1 & 0x8000) == 0x8000)
! P8 J6 c( m- Q. @7 o+ m{
& I1 e" V: p5 u6 `, S9 p* n2 F$ }# g: npll0clk_sysclk1 = pll0clk_pllen / ((pll0_plldiv1 & 0x1F) + 1);8 v! E6 h% i2 y4 I2 F+ D' u
} 1 ~4 K- O0 H* F% X% k' }7 X
else
5 e! G! C1 P4 d8 A' D- V{
8 F6 U  D# V9 u) j1 \pll0clk_sysclk1 = pll0clk_pllen;8 R9 V4 l- m8 |0 Z4 f* K
}
( i4 I) _' S( m' ~9 a, _
$ n" {$ x2 w) _6 vif ((pll0_plldiv2 & 0x8000) == 0x8000)
7 @7 y; w( i0 l{
' B6 F2 G3 j3 [0 w' v& L, Q9 wpll0clk_sysclk2 = pll0clk_pllen / ((pll0_plldiv2 & 0x1F) + 1);
2 N' V6 P% r2 |) I! L0 {2 _}
% j3 E# _4 c/ P  d# n- [3 Celse
! b' s2 G0 m7 M{, Z/ j$ `' o) u! v% W
pll0clk_sysclk2 = pll0clk_pllen;% G3 H! D2 U8 r  m) W( U/ e  h
}
if ((pll0_plldiv3 & 0x8000) == 0x8000)
7 \1 R5 e0 `+ t+ z: T{
" ]1 j2 A# V! a9 Wpll0clk_sysclk3 = pll0clk_pllen / ((pll0_plldiv3 & 0x1F) + 1);- C0 n8 l" {5 B1 l
} 9 u8 O1 o" t/ I; b* R3 d
else# {% h) M2 I3 W& a
{
' R* X2 R! e: {" p! i' jpll0clk_sysclk3 = pll0clk_pllen;
% z: u. a% K3 l1 p  N5 ?0 z( d}  j/ `* G; X& N6 ~1 x, R3 K
* T* O8 S8 X2 y/ U: E
if ((pll0_plldiv4 & 0x8000) == 0x8000)0 g8 R9 e3 u2 w0 B: I
{  Z6 `, x  Y. q5 m' D6 u
pll0clk_sysclk4 = pll0clk_pllen / ((pll0_plldiv4 & 0x1F) + 1);* D- @$ r4 k1 z; Y4 S
}
, [% d$ i- F! \else
+ g1 W) m- _$ G! \1 W{- a1 l" A# I, o) E
pll0clk_sysclk4 = pll0clk_pllen;
. e4 Q1 c8 m4 N}
6 H$ o7 p0 G+ I7 L' _/ q7 ?+ E4 k, _* n
if ((pll0_plldiv5 & 0x8000) == 0x8000)) o7 H9 ^( a# f9 U# i
{% \& X; c  ^! |# J/ B
pll0clk_sysclk5 = pll0clk_pllen / ((pll0_plldiv5 & 0x1F) + 1);
- x* X+ ^: }9 k  P+ S, _}
5 _0 A" D, T9 {- q8 G0 J5 ~3 ~else
- U" x9 w$ M! U. o1 {{: e" g0 J. m$ ?1 x/ |& q6 Y
pll0clk_sysclk5 = pll0clk_pllen;5 a8 c# y4 ^' K) s" L% ]1 l
}
if ((pll0_plldiv6 & 0x8000) == 0x8000)
" W4 ~; ?3 ^/ k* ]' `5 t# K{) S6 H: T# `2 n) E# B8 P
pll0clk_sysclk6 = pll0clk_pllen / ((pll0_plldiv6 & 0x1F) + 1);
/ Q1 y) {! r/ a% A}
  i5 ~' ~. H5 t. N" ]2 Telse
2 a# ?  h! y3 l$ R: x7 T{- ^# l# ]% q4 m1 c2 M
pll0clk_sysclk6 = pll0clk_pllen;# f, F( R  C0 m/ B$ x6 E
}
if ((pll0_plldiv7 & 0x8000) == 0x8000)
0 Q& {  Z" j; ^. D: n4 j+ D{) E( }7 E1 H3 {) U- P2 ?
pll0clk_sysclk7 = pll0clk_pllen / ((pll0_plldiv7 & 0x1F) + 1);
* X0 h/ X3 n3 j( @1 g* T# w} + j5 w7 E  B4 Y3 k7 E
else0 v3 f! E! x/ m3 ]0 Q: y
{3 S4 q' @. R+ q
pll0clk_sysclk7 = pll0clk_pllen;3 v' g8 R4 B0 r: [) ?7 x" t' T
}
GEL_TextOut("\n");
( T8 a0 a0 i* [8 hGEL_TextOut("---------------------------------------------\n");
1 r* O2 e. {6 ?+ W' b; PGEL_TextOut("| Clock Information |\n");/ k6 N. n) G- v' H
GEL_TextOut("---------------------------------------------\n");
# ?) o! b! Y, xGEL_TextOut("\n");
// if PLLCTL[CLKMODE] == 1
$ r& V, C- |3 R. c+ D2 O6 \7 o8 zif ( (pll0_pllctl & (1<<8)) == (1<<8) )( Q7 \) [' f& g) a/ e: K
{9 Q) {, l) d: E  R3 W% o7 {
GEL_TextOut("PLLs configured to utilize 1.2V square wave input.\n");
$ ?) R8 ]4 w1 C7 \" ^}
% a& J7 l' a9 `* y9 |, N: ]else
" t7 H0 \, B3 a: m' r) I{
1 I) x. E7 G& g/ g  SGEL_TextOut("PLLs configured to utilize crystal.\n");( y5 d9 M1 Z. m
}
// if CFGCHIP3[ASYNC3_CLKSRC] == 13 G6 l( C" g, R* t& q. x2 a
if ( (CFGCHIP3 & (1<<4)) == 0 )
" S2 o: [  \7 |( F3 X9 @! t{& E: m4 ~6 _' i5 ]0 ~- E
GEL_TextOut("ASYNC3 = PLL0_SYSCLK2\n");
2 D; N3 L. S3 y; W8 T1 m}' A* W8 }+ m/ v; q4 P& N- q
else
6 u2 u# h* ~" G( j) R" F( q{
" @6 v6 @7 Y9 z* d3 |" V% bGEL_TextOut("ASYNC3 = PLL1_SYSCLK2\n");$ t% ~% k$ ]3 r: X3 m# [
}3 Z: V/ Q- Y5 `

4 P& b2 p0 s5 U( U; G3 Q! YGEL_TextOut("\n");1 V- f  p1 _3 x% J
GEL_TextOut("NOTE: All clock frequencies in following PLL sections are based\n");
7 M& [) j, ~1 {- O* PGEL_TextOut("off OSCIN = %d MHz. If that value does not match your hardware\n",,,,, OSCIN_FREQ);8 A# |, {( r6 o4 ?4 ?
GEL_TextOut("you should change the #define in the top of the gel file, save it,\n");, S$ R( s2 j9 @
GEL_TextOut("and then reload.\n");
GEL_TextOut("\n");
# w) o7 D1 r  a3 Y8 L5 w% K* CGEL_TextOut("---------------------------------------------\n");. s, e8 ^, L% O3 O5 V( n/ l
GEL_TextOut("| PLL0 Information |\n");- v/ L7 p+ a' H# a0 T
GEL_TextOut("---------------------------------------------\n"); * ]/ U; G2 |+ x2 x+ g/ X; ?
GEL_TextOut("\n");( ]' i( _$ a% \" V$ z0 b+ M
  q! y8 `9 d1 h- l
// Uncomment to see intermediate clock calculations
2 C% N) w! w5 |' s7 P2 ]//GEL_TextOut("PLL0_PREDIV = %d MHz\n",,,,, pll0clk_prediv);
  c) A+ d  |) V9 W//GEL_TextOut("PLL0_PLLOUT = %d MHz\n",,,,, pll0clk_pllout);
: i/ t3 d3 _3 B6 e/ K4 a//GEL_TextOut("PLL0_PLLOUT_POSTDIV = %d MHz\n",,,,, pll0clk_pllout_postdiv);
  |( z& Q( B+ E# e; O% o//GEL_TextOut("PLL0_PLLEN = %d MHz\n",,,,, pll0clk_pllen);
$ A7 W0 }( s  d2 S7 ?9 [4 F" [- I, r. p
( C# t6 D$ d/ X, b# xGEL_TextOut("PLL0_SYSCLK1 DSP = %d MHz\n",,,,, pll0clk_sysclk1);
( O3 x' P# [  c4 |: ]% g% z0 sGEL_TextOut("PLL0_SYSCLK2 ASYNC3 = %d MHz\n",,,,, pll0clk_sysclk2);3 C; s. h5 J/ H  ^( z/ L
GEL_TextOut("PLL0_SYSCLK3 EMIFA = %d MHz\n",,,,, pll0clk_sysclk3);( N4 [( m* O! p" i/ P, V+ @% a
GEL_TextOut("PLL0_SYSCLK4 = %d MHz\n",,,,, pll0clk_sysclk4);
" [+ n  G3 D6 O& }3 N) E1 bGEL_TextOut("PLL0_SYSCLK5 Not used = %d MHz\n",,,,, pll0clk_sysclk5);+ B% J  t: h1 l
GEL_TextOut("PLL0_SYSCLK6 ARM(OMAPL138) = %d MHz\n",,,,, pll0clk_sysclk6);2 N- ]9 H* ^" h  r4 ?
GEL_TextOut("PLL0_SYSCLK7 EMAC = %d MHz\n",,,,, pll0clk_sysclk7);: h% `  [% r1 B2 |% @3 Y
# P, a, D5 ]3 ?. r
if ( (pll0clk_sysclk1 / pll0clk_sysclk2) != 2 )
/ {+ G* ^: Z, a  c; O* J( A% D2 s{
' Z' l& J) H/ L0 [6 i. T5 WGEL_TextOut("Error: PLL0_SYSCLK2 must equal PLL0_SYSCLK1 / 2\n");( ]9 H/ ~  C' D' t9 H$ J" d
}
if ( (pll0clk_sysclk1 / pll0clk_sysclk4) != 4 )
7 F& G8 b( J4 `- p# J{7 B' e- z& U6 a4 v2 V
GEL_TextOut("Error: PLL0_SYSCLK4 must equal PLL0_SYSCLK1 / 4\n");
4 L+ Q% l/ n. C% I- U}
if ( (pll0clk_sysclk1 / pll0clk_sysclk6) != 1 ). _+ ]  a* v, a' d# z
{- {/ A2 w( ?* n6 e8 T; ]
GEL_TextOut("Error: PLL0_SYSCLK6 must equal PLL0_SYSCLK1 / 1\n");. h' D( ]! X+ E' x5 I
}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only( |) ~0 x3 p* n8 H4 t, G
{
& l* C0 P3 a: F+ b* z9 _GEL_TextOut("\n");
, F# n5 Q* P3 x: V# zGEL_TextOut("---------------------------------------------\n");
2 h6 s1 Y, u; hGEL_TextOut("| PLL1 Information |\n");: k0 z/ F, T4 J- H" O5 T
GEL_TextOut("---------------------------------------------\n"); ( L( ~; j% Q8 q& C# E
GEL_TextOut("\n");5 b; E1 h2 q7 L+ M# n! k

; Y. z5 U8 B5 k" D5 a8 V// Uncomment to see intermediate clock calculations
) x  |3 q- P! ~/ r//GEL_TextOut("PLL1_PLLOUT = %d MHz\n",,,,, pll1clk_pllout);
+ N: t3 A1 r, J# b0 {) P3 R//GEL_TextOut("PLL1_PLLOUT_POSTDIV = %d MHz\n",,,,, pll1clk_pllout_postdiv);
- I; G3 `7 t9 i& z( z4 ~! p: F//GEL_TextOut("PLL1_PLLEN = %d MHz\n",,,,, pll1clk_pllen);; [0 q& R3 s7 N" I+ G& t/ U) h

" Q: N+ f$ }$ KGEL_TextOut("PLL1_SYSCLK1 DDR2 = %d MHz\n",,,,, pll1clk_sysclk1);& _! b: K; i! P$ _1 {$ Y
GEL_TextOut("PLL1_SYSCLK2 ASYNC3 = %d MHz\n",,,,, pll1clk_sysclk2);
# `" P! I, H' M8 O& ^' d! P+ ^GEL_TextOut("PLL1_SYSCLK3 = %d MHz\n",,,,, pll1clk_sysclk3);
3 `+ k( d* X: W2 v' m# U}
1 N6 [+ p$ ?7 {2 [1 o}
menuitem "Diagnostics"
) M2 @+ s' y3 {# w. V0 g2 uhotmenu Print_PSC_Status()
, g0 }! i. b+ X0 E& D{
* g7 t7 P8 Z4 p2 i/ G# t# `3 lunsigned int *pPSC0_MDSTAT = (unsigned int*)0x01C10800;
& V/ [$ H+ L4 y9 ?unsigned int *pPSC1_MDSTAT = (unsigned int*)0x01E27800;
0 V$ E9 k! O$ T: E* G( P" q% k* p# p# G: H( |. K% \/ {- n0 H
// Device in use$ [' D( p% A. O1 ]% f
unsigned int arm_dsp, rom_id, revision0;
arm_dsp = ((ARM_ROM_ID & 0xFF) == 0x6B) ? 1 : 0;
* H, [9 }' m' b7 Trom_id = (arm_dsp) ? ARM_ROM_ID : DSP_ROM_ID;
3 j3 E2 g$ D; p8 l2 t8 x' ?  Frevision0 = ((rom_id & 0xFF000000) >>24) - 48;
GEL_TextOut("\n");( z. i* D& j- ^/ t( r8 u- k
GEL_TextOut("---------------------------------------------\n");+ ]5 B( o  |6 m
GEL_TextOut("| PSC0 Information |\n");2 Y5 X- N5 C/ ?; k
GEL_TextOut("---------------------------------------------\n");
, U: x& g6 i3 y7 m$ w1 g/ MGEL_TextOut("\n");
GEL_TextOut("State Decoder:\n");
! r$ F  l1 Q$ }7 Q8 |& dGEL_TextOut(" 0 = SwRstDisable (reset asserted, clock off)\n");
& M* Z/ p# g2 [GEL_TextOut(" 1 = SyncReset (reset assered, clock on)\n");1 t' i  O, q8 u6 x) O
GEL_TextOut(" 2 = Disable (reset de-asserted, clock off)\n");! \# t" R; E" y# n* O2 G3 C+ \* ~4 Q
GEL_TextOut(" 3 = Enable (reset de-asserted, clock on)\n");
! J. \2 M$ l5 b6 q  a! T/ DGEL_TextOut(">3 = Transition in progress\n");
! {; {4 G" k! j+ t/ B  I( jGEL_TextOut("\n");
8 h) Q# G2 H7 I$ L2 K+ r. c* G  g
GEL_TextOut("Module 0: EDMA3CC (0) STATE = %d\n",,,,, (pPSC0_MDSTAT[0] & 0x3F));
, n1 R: v0 e8 ]  v, O  kGEL_TextOut("Module 1: EDMA3 TC0 STATE = %d\n",,,,, (pPSC0_MDSTAT[1] & 0x3F));
6 b% ?# y1 t5 z3 {: |. cGEL_TextOut("Module 2: EDMA3 TC1 STATE = %d\n",,,,, (pPSC0_MDSTAT[2] & 0x3F));
. p% }) {. l* j, ]- n$ W6 iGEL_TextOut("Module 3: EMIFA (BR7) STATE = %d\n",,,,, (pPSC0_MDSTAT[3] & 0x3F));8 F* t* U' T+ C. D0 a
GEL_TextOut("Module 4: SPI 0 STATE = %d\n",,,,, (pPSC0_MDSTAT[4] & 0x3F));
+ \* |! a. c( i- T0 t5 N* y. rGEL_TextOut("Module 5: MMC/SD 0 STATE = %d\n",,,,, (pPSC0_MDSTAT[5] & 0x3F));! ]7 m) n+ e$ j. l: T  w* r
GEL_TextOut("Module 6: AINTC STATE = %d\n",,,,, (pPSC0_MDSTAT[6] & 0x3F));
  ?: r' }  s' g- d! b$ BGEL_TextOut("Module 7: ARM RAM/ROM STATE = %d\n",,,,, (pPSC0_MDSTAT[7] & 0x3F));
/ ^! N( A- h& ^2 d6 CGEL_TextOut("Module 9: UART 0 STATE = %d\n",,,,, (pPSC0_MDSTAT[9] & 0x3F));
$ d. z& h# Y: e' b4 u/ zGEL_TextOut("Module 10: SCR 0 (BR0/1/2/8) STATE = %d\n",,,,, (pPSC0_MDSTAT[10] & 0x3F));
( G5 S4 v  i7 _! T! ]& WGEL_TextOut("Module 11: SCR 1 (BR4) STATE = %d\n",,,,, (pPSC0_MDSTAT[11] & 0x3F));
+ T  H& x2 Z# a# EGEL_TextOut("Module 12: SCR 2 (BR3/5/6) STATE = %d\n",,,,, (pPSC0_MDSTAT[12] & 0x3F));7 T. r. W: d6 g- i
GEL_TextOut("Module 13: PRUSS STATE = %d\n",,,,, (pPSC0_MDSTAT[13] & 0x3F));- m2 X# _0 l# h. o' v1 Y4 y# T
GEL_TextOut("Module 14: ARM(OMAPL138) STATE = %d\n",,,,, (pPSC0_MDSTAT[14] & 0x3F));
& p) c6 j4 @7 XGEL_TextOut("Module 15: DSP STATE = %d\n",,,,, (pPSC0_MDSTAT[15] & 0x3F));
1 N) m' e- C/ G  x* F& B- j1 [  t; h& z% [5 @$ Y2 t
GEL_TextOut("\n");
1 }7 M1 ~6 d8 y. k. L5 j% c$ r& OGEL_TextOut("---------------------------------------------\n");4 K/ q- S1 i' \8 L: P
GEL_TextOut("| PSC1 Information |\n");
; j" t6 }: L" x. ^3 U) BGEL_TextOut("---------------------------------------------\n"); ( \) z: W, e& I
GEL_TextOut("\n");
2 O& q2 C) M; B# k* \8 j* S7 E# e( ]% q) v# N# @
GEL_TextOut("State Decoder:\n");' L- P  j2 i/ c
GEL_TextOut(" 0 = SwRstDisable (reset asserted, clock off)\n");- W/ |6 h/ o0 G7 I1 q) I
GEL_TextOut(" 1 = SyncReset (reset assered, clock on)\n");
! U( K3 w; e6 _GEL_TextOut(" 2 = Disable (reset de-asserted, clock off)\n");
  L$ L2 R; \0 v9 j0 R  XGEL_TextOut(" 3 = Enable (reset de-asserted, clock on)\n");5 _2 x# O; {- f* z9 A8 d  J' j4 ?
GEL_TextOut(">3 = Transition in progress\n");
4 w. w. ~, v. @# b. ZGEL_TextOut("\n"); % {1 J( E3 j+ \8 z; |' `
/ x+ F% q; o) u+ }% Z) `
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only+ p2 X) G) l% g
{
, f( C6 P6 U, mGEL_TextOut("Module 0: EDMA3CC (1) STATE = %d\n",,,,, (pPSC1_MDSTAT[0] & 0x3F));- |2 m7 B9 @- P0 M8 N5 a+ i
}
8 z7 C% ~: T- l2 A0 I, W" P8 P5 p+ U) _/ Z# y; ~+ I8 c
GEL_TextOut("Module 1: USB0 (2.0) STATE = %d\n",,,,, (pPSC1_MDSTAT[1] & 0x3F));7 T' z. |- S0 U6 `! p1 z& _7 |$ z
GEL_TextOut("Module 2: USB1 (1.1) STATE = %d\n",,,,, (pPSC1_MDSTAT[2] & 0x3F));% [3 G3 i/ {3 H# `9 t
GEL_TextOut("Module 3: GPIO STATE = %d\n",,,,, (pPSC1_MDSTAT[3] & 0x3F));/ \3 Z9 H! ^0 F3 s! Y' b
GEL_TextOut("Module 4: UHPI STATE = %d\n",,,,, (pPSC1_MDSTAT[4] & 0x3F));
, Q; n& y, O6 t- b7 ~. [, EGEL_TextOut("Module 5: EMAC STATE = %d\n",,,,, (pPSC1_MDSTAT[5] & 0x3F));1 o) S4 @$ q& H0 }
; H3 _% k+ r+ U
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only
! M/ L' l' o$ {{
. r: ~( n$ w# Q, ~, R" U# c& XGEL_TextOut("Module 6: DDR2 and SCR F3 STATE = %d\n",,,,, (pPSC1_MDSTAT[6] & 0x3F));
! Q' @" `% S  P  ?0 A) v}
2 o9 W3 b- C% V% |- T5 aelse# _: d6 F  F  }% _
{ // OMAP-L137 and variants. H& \. k0 m( I
GEL_TextOut("Module 6: EMIFB (BR20) STATE = %d\n",,,,, (pPSC1_MDSTAT[6] & 0x3F));
" L7 O. R& ~2 g}
GEL_TextOut("Module 7: MCASP0 + FIFO STATE = %d\n",,,,, (pPSC1_MDSTAT[7] & 0x3F));
0 t3 P! ~. X2 K$ ~3 f0 O
1 }' M% Z5 i4 z& l8 jif ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only3 G- x6 E; E0 l$ l* G- F  X- y' q6 v5 i
{
" [# i! [2 [' r  zGEL_TextOut("Module 8: SATA STATE = %d\n",,,,, (pPSC1_MDSTAT[8] & 0x3F));: v3 e: S$ y1 }) j( Z# a" T
}
7 O" x$ v  s. ?4 W  t2 x2 F9 belse1 y" p: u! }$ f2 U+ y5 y
{ // OMAP-L137 and variants
' }/ @9 }# Z5 t: G( w; a; C# bGEL_TextOut("Module 8: MCASP1 + FIFO STATE = %d\n",,,,, (pPSC1_MDSTAT[8] & 0x3F));
. I9 e; ^5 B* _}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only
6 W% m' N9 D" a0 r! L{ : w, V0 o/ L" H9 ^" L% \3 G% q. ~
GEL_TextOut("Module 9: VPIF STATE = %d\n",,,,, (pPSC1_MDSTAT[9] & 0x3F));
8 k% j/ `4 c9 W4 q' c1 l}
4 a: K1 R- g" l1 u! d8 selse
! }! G4 r( x( }4 h' Q3 S3 x' \: P4 ^{ // OMAP-L137 and variants" z# s) Q# g- x
GEL_TextOut("Module 9: MCASP2 + FIFO STATE = %d\n",,,,, (pPSC1_MDSTAT[9] & 0x3F));
% M3 O! n* g6 O6 j}) a2 z* f: M  t
' {/ W' R; P& S
GEL_TextOut("Module 10: SPI 1 STATE = %d\n",,,,, (pPSC1_MDSTAT[10] & 0x3F));# N& q& v& }6 x# c* [# j
GEL_TextOut("Module 11: I2C 1 STATE = %d\n",,,,, (pPSC1_MDSTAT[11] & 0x3F));
1 [8 ]  {: \- @$ hGEL_TextOut("Module 12: UART 1 STATE = %d\n",,,,, (pPSC1_MDSTAT[12] & 0x3F));7 O' ]. N& K) e+ K2 F8 i
GEL_TextOut("Module 13: UART 2 STATE = %d\n",,,,, (pPSC1_MDSTAT[13] & 0x3F));
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only
" I! _: P. W& d/ V4 k  E' x% e{
$ V. z0 P+ m/ a; FGEL_TextOut("Module 14: MCBSP0 + FIFO STATE = %d\n",,,,, (pPSC1_MDSTAT[14] & 0x3F));+ t% M' B  ], P1 Z5 h" I; P
}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only4 K' E) o6 m4 C: d
{
7 J+ z$ M. H! w/ b' u0 E3 k3 M- kGEL_TextOut("Module 15: MCBSP1 + FIFO STATE = %d\n",,,,, (pPSC1_MDSTAT[15] & 0x3F));& ^) T* |4 e5 [" O, l& Y! {
}
. L8 x$ N% `1 A4 @( k9 P+ M4 ]  F( x1 j( M
GEL_TextOut("Module 16: LCDC STATE = %d\n",,,,, (pPSC1_MDSTAT[16] & 0x3F));
* i9 u% p0 m6 y, f# z4 HGEL_TextOut("Module 17: eHRPWM (all) STATE = %d\n",,,,, (pPSC1_MDSTAT[17] & 0x3F));
7 g4 U: ~# L4 C- a  x
% T/ b0 `! g" X1 r. X' kif ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only9 H  J! K0 Y7 V/ s
{ 2 e5 r  e% Y9 X! b, N3 C
GEL_TextOut("Module 18: MMC/SD 1 STATE = %d\n",,,,, (pPSC1_MDSTAT[18] & 0x3F));
, X7 m8 Y. Z5 o8 f' S8 q}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only
# L: k  \, X2 s- @" f, w& s* b{
4 H* t. Z& _2 V/ P. D7 lGEL_TextOut("Module 19: UPP STATE = %d\n",,,,, (pPSC1_MDSTAT[19] & 0x3F));
5 D0 J2 ]- O$ e}
GEL_TextOut("Module 20: eCAP (all) STATE = %d\n",,,,, (pPSC1_MDSTAT[20] & 0x3F));
, Q4 C5 a: @  d9 }' t- D( k) F; I/ l, S
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only
4 Z/ G7 G$ x- m! ?( e0 T' [{ 8 U. \# z3 p) F
GEL_TextOut("Module 21: EDMA3 TC2 STATE = %d\n",,,,, (pPSC1_MDSTAT[21] & 0x3F));
8 o3 E/ m& K+ h# z}' Q" B  f% g& c& y/ U
else* z" B+ y" O* q  G
{ // OMAP-L137 and variants
+ J( ^5 k$ [& N: XGEL_TextOut("Module 21: eQEP 0/1 STATE = %d\n",,,,, (pPSC1_MDSTAT[21] & 0x3F));+ m% ^7 D- ^) o9 k; ?- f1 i
}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only
% `& {2 u( J- U. Q9 O' @{
& B6 k9 [- R$ x3 b: P! eGEL_TextOut("Module 24: SCR-F0 Br-F0 STATE = %d\n",,,,, (pPSC1_MDSTAT[24] & 0x3F));+ S9 v+ u( k! l! I. W. c1 _
}# L& w9 d+ ~/ H
else
! l6 ?$ N' V5 T2 `! z{ // OMAP-L137 and variants, |% T' ]  }9 `# N9 q
GEL_TextOut("Module 24: SCR8 (Br15) STATE = %d\n",,,,, (pPSC1_MDSTAT[24] & 0x3F));4 e2 T' l  }5 q9 @, \5 c
}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only6 v2 Z& n7 p" E1 l8 d; `& P) Z5 N
{ + I, S- I( G: C) C/ g5 Z4 ]4 n
GEL_TextOut("Module 25: SCR-F1 Br-F1 STATE = %d\n",,,,, (pPSC1_MDSTAT[25] & 0x3F));
& u8 G. N; Z2 i# \9 q}- d/ ?7 `, L6 Z% _4 u" ]1 f9 S
else+ a8 ?# M5 F7 d: a# b
{ // OMAP-L137 and variants
5 f$ R! \- M9 T& n0 n% m/ R9 IGEL_TextOut("Module 25: SCR7 (Br12) STATE = %d\n",,,,, (pPSC1_MDSTAT[25] & 0x3F));. z0 j' Z( ~7 v2 x- M
}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only% u6 V& _# {. C. k% g; c
{ 9 j' b2 M+ t! H1 x1 ?
GEL_TextOut("Module 26: SCR-F2 Br-F2 STATE = %d\n",,,,, (pPSC1_MDSTAT[26] & 0x3F));
8 U! P4 W. e$ r5 s1 P7 J- J6 I}5 t  k3 U+ T( @, h  h
else
7 H" V* q6 o3 J1 v& y& ]{ // OMAP-L137 and variants5 t  Q1 u) |- _/ S. u' T
GEL_TextOut("Module 26: SCR12 (Br18) STATE = %d\n",,,,, (pPSC1_MDSTAT[26] & 0x3F));
3 D- q: I+ V3 q7 `}& [+ j2 N: _  Z4 {

/ Z8 p# F- F% h) tif ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only
1 x4 N* ?+ j! H, E! l: E) K4 D{
5 X4 ?' M% j/ ~+ @7 J$ XGEL_TextOut("Module 27: SCR-F6 Br-F3 STATE = %d\n",,,,, (pPSC1_MDSTAT[27] & 0x3F));
8 v7 F3 _+ x( D# gGEL_TextOut("Module 28: SCR-F7 Br-F4 STATE = %d\n",,,,, (pPSC1_MDSTAT[28] & 0x3F));# ]1 `, |, T* [- o
GEL_TextOut("Module 29: SCR-F8 Br-F5 STATE = %d\n",,,,, (pPSC1_MDSTAT[29] & 0x3F));3 \% M5 }2 r! d; E( x7 G
GEL_TextOut("Module 30: Br-F7 (DDR Contr) STATE = %d\n",,,,, (pPSC1_MDSTAT[30] & 0x3F));
# Q( K- G1 L. E8 o. ~" ~2 g}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only# s) ~' ~6 U% p- i: r; l
{
5 ^% G7 n: U* U" ]) x' Q9 c  z$ YGEL_TextOut("Module 31: L3 RAM, SCR-F4, Br-F6 STATE = %d\n",,,,, (pPSC1_MDSTAT[31] & 0x3F));
  m: [/ `3 F' |6 d# N. A5 }}
0 D$ O% l  J' G4 P' L* q& r. nelse* P  h! k! B3 m: n1 H. v
{ // OMAP-L137 and variants
0 P- ^8 f6 k" y+ b: q# ^GEL_TextOut("Module 31: L3 RAM (Br13) STATE = %d\n",,,,, (pPSC1_MDSTAT[31] & 0x3F));: r! V, I; I( e4 O" i
}. k  h" a3 _1 p7 s

: S/ a" X$ _/ S; ?1 n7 T4 }! U}
其中回调函数可以在CCS help文档中找到
比如GEL_MapOff()
这个函数描述是这样的
This function disables memory mapping. Note that disabling memory mapping can cause bus fault problems in the target because the Code Composer Studio debugger may attempt to access nonexistent memory. On power up, the memory map is turned off by default.
该函数会禁止内存映射,注意禁止的内存映射可能会引起目标的总线故障 ,因为CCS 调试器会尝试访问不存在的内存。在上电后,内存映射默认关闭。
参考创龙TL138_1808_6748-EVM 参考资料
多多指教

0 `% ?0 P9 l  c+ a' [3 U6 K# h! M




欢迎光临 EDA365电子工程师网 (http://bbs.elecnest.cn/) Powered by Discuz! X3.2