找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 504|回复: 0
打印 上一主题 下一主题

QUATRTUS II 9.1在编译时报10482,ROM_DATA未定义错误

[复制链接]

3

主题

41

帖子

407

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
407
跳转到指定楼层
1#
发表于 2013-2-25 10:27 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
各位好:: t' |1 h$ m3 S- B: ]$ Q$ A& I# ?
       才开始学习FPGA。在看清华的ALTERA FPGA工程师成长教程时使用第六章的源代码,在QUARTUS II 9.1进行编译时报10482错误,提示ROM_DATA未定义,不清楚如何排查故障,请指教。多谢!
6 N: k% N# ]* {7 Q3 M" S6 X
& {- n. T" c' `1 _; v; `2 f/ G7 R5 E+ M' i: [7 U# V- o5 T3 J$ L+ ?

5 q9 n- }; E. R+ h5 n( h" C5 j: I; @5 W' r& Y

; U  j; P2 |/ C) d$ F; X& G+ E0 P! v  U' [: o
4 s9 `" ?( Q2 O! l2 n. l
LIBRARY IEEE;                                                                                                //调用标准库文件
8 F7 C$ e' g. X, b4 xUSE IEEE.STD_LOGIC_1164.ALL;
7 k5 E8 b! V4 x; f, v1 G  EUSE IEEE.STD_LOGIC_UNSIGNED.ALL; ' p6 @8 C& H1 ]
ENTITY sinfsq IS
9 l  k! h+ {4 }; C; i- f7 H& R# l   PORT(                                                                                                //端口定义
; p* t; X4 B* ]0 p       clk : IN STD_LOGIC;! b- d9 o) e% b* W
       dout : OUT STD_LOGIC_VECTOR(5 DOWNTO 0)) ;, ]+ n  }9 t& J8 H
END sinfsq;
% s3 J% K5 m7 K, QARCHITECTURE behavior OF sinfsq IS. [  B7 z9 S# ]- W% {( V
COMPONENT sin_rom                                                                              //声明ROM元件
* s  Y0 v" J  K        PORT(
; x" K% f! s2 P                address        : IN        STD_LOGIC_VECTOR(5 DOWNTO 0);
6 D5 E- f3 y' Y1 B, i                inclock        : IN         STD_LOGIC;
) L, E/ S4 q3 G! m4 M                q            : OUT        STD_LOGIC_VECTOR(7 DOWNTO 0));
& f, G) I/ A2 s; AEND COMPONENT;
# f$ R& f7 P! _6 e1 b   SIGNAL wt: STD_LOGIC_VECTOR(5 DOWNTO 0);
# g& u& y! B/ R0 F! lBEGIN
# q6 j6 G+ y; ?8 p) k: u! u  n   PROCESS(clk)
# B' `( g- q* L, ~$ R( T9 z) @   BEGIN0 |# I7 C( u" J% {; [* A
      IF clk'EVENT AND clk='1' THEN6 {0 u: k8 ^) A  Q; g6 B! s
         wt<=wt+1;7 ^9 r" [" D  y: ^7 U, t) H
      END IF;0 X8 ~5 i% V* U# }: p
   END PROCESS;
; c! A% M6 c0 s0 U( V0 y" s   u1:rom_data PORT MAP(address=>wt,inclock=>clk,q=>dout);                        //例化ROM元件
$ N' ?! F. M) `5 kEND behavior;
  {! ~! H; a& U' o
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-10-23 19:27 , Processed in 0.084751 second(s), 34 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表