找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 1162|回复: 3
打印 上一主题 下一主题

请教cadence原理图导入到board的报错,新手第一画

[复制链接]

2

主题

5

帖子

-8973

积分

未知游客(0)

积分
-8973
跳转到指定楼层
1#
发表于 2010-5-5 14:57 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
本帖最后由 nautydcf 于 2010-5-5 14:58 编辑 ) A. {) n% O, K

0 H- T+ m) l  W) e$ M$ e  Z  ^9 c请教各位大虾,第一次用cadence做设计,原理图画好了,pacage自认为也弄好的,原理图export physical以后再board里面import logic后board里什么也没有,还出现了错误,错误如下:
3 u/ s) v. {* S* `; l------ Directives ------5 r  P) R3 }" o% Q0 W
RIPUP_ETCH FALSE;6 C( @5 P; }$ E
RIPUP_SYMBOLS ALWAYS;
# J0 v, ~0 S" GMISSING SYMBOL AS ERROR FALSE;% }& u$ p$ D1 e: i  X
SCHEMATIC_DIRECTORY 'F:/design/station speaker/board';# D1 z' C. h( Q/ y
BOARD_DIRECTORY '';
) p- b; L6 m$ w1 |' }0 ]OLD_BOARD_NAME 'F:/design/station speaker/board/board.brd';
5 T4 \! P& G$ H8 W( A3 MNEW_BOARD_NAME 'F:/design/station speaker/board/board.brd';
% ^& P7 _: o* ^) L! N  lCmdLine: netrev -$ -5 -i F:/design/station speaker/board -l -y 1 F:/design/station speaker/board/#Taaaaaa03732.tmp$ o; _  h/ `, k' f
------ Preparing to read pst files ------
2 O9 x  Y: g3 x1 }8 I  B; i; n; Z, v! A9 }5 u
#1   ERROR(24) File not found7 U" l5 c9 L& k( F  s+ {
     Packager files not found
: L9 @$ Z. [% _, i#2   ERROR(102) Run stopped because errors were detected
: T; N0 p  G  c9 wnetrev run on May 5 14:46:58 2010
4 f2 D3 W: ~: e  n. \   COMPILE 'logic'
- r0 X) Q* t6 R: Q: E5 h' r   CHECK_PIN_NAMES OFF
  b, r8 B' j  }6 E$ l   CROSS_REFERENCE OFF3 y' Y, @, F$ O9 W8 q; g4 n( L, a
   FEEDBACK OFF+ g2 v9 }; ]: u# G8 [1 u7 D
   INCREMENTAL OFF
4 c' U4 {+ d2 J  H* G( x   INTERFACE_TYPE PHYSICAL
: I, V% G  Q" F2 C+ m  S$ m! P   MAX_ERRORS 500
( `' G- j6 X! W7 c0 U   MERGE_MINIMUM 5
3 G6 q  Z; Z  ^/ w+ L  G! X   NET_NAME_CHARS '#%&()*+-./:=>?@[]^_`|'4 Z3 d6 s1 W3 Y( |3 J8 d
   NET_NAME_LENGTH 24
$ v* Q9 I6 Y& \$ L! r   OVERSIGHTS ON
6 p3 O; _! m, Y& d; ?   REPLACE_CHECK OFF
. z  B" J1 K# H4 _' f. p   SINGLE_NODE_NETS ON  D$ ~$ n& V" @' @
   SPLIT_MINIMUM 06 t8 Q$ S0 _5 m* R4 O* @5 V
   SUPPRESS   20$ L; {, A# ^3 p1 ~& E5 j
   WARNINGS ON
1 S% x( ~: ?: O$ g8 w0 o# h4 {2 |  2 errors detected: m) ~9 ?: f4 ?( Z
No oversight detected& c; i; r: H: F$ e5 [! H
No warning detected
- I$ I7 A) C5 B* z8 scpu time      0:00:427 p4 H; \* ]: `4 e" p9 t
elapsed time  0:00:00
7 B1 n$ h# L. c; T( L; ~0 N2 N/ L% A6 N' Y9 B& J3 n
请大家帮忙,我不知道为啥了
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!

6

主题

68

帖子

594

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
594
2#
发表于 2010-5-6 13:09 | 只看该作者
Packager files not found: u7 l% Q, l4 M) i8 B
你在package和板子对好库没?

11

主题

53

帖子

114

积分

二级会员(20)

Rank: 2Rank: 2

积分
114
3#
发表于 2010-5-6 13:17 | 只看该作者
封装没找到,在allegro里封装名称要对应且要设置好路径

2

主题

5

帖子

-8973

积分

未知游客(0)

积分
-8973
4#
 楼主| 发表于 2010-5-6 21:54 | 只看该作者
回复 3# chenweicn
& ]: e5 T& S' n) E0 h9 E$ D( `2 r# U: I$ Z  G" S% K. }+ a
0 x8 _, ^/ j6 e, y6 Q
    恩,可以了,谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-10-22 05:12 , Processed in 0.057703 second(s), 33 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表