找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 799|回复: 0
打印 上一主题 下一主题

[原理图] 编译不了???

[复制链接]

1

主题

1

帖子

6

积分

初级新手(9)

Rank: 1

积分
6
跳转到指定楼层
1#
发表于 2010-3-9 20:18 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
LIBRARY IEEE;& P( e, z/ f$ }. G1 u) }' `( N
USE  IEEE.STD_LOGIC_1164.ALL;. J8 e8 A. B2 d6 Y, Q$ ?2 \% ^2 H# t
ENTITY  tri_s  IS& w% m1 A9 ~: p7 ]
  PORT( enable : IN STD_LOGIC;5 u5 g6 y8 `  n2 D7 ^$ ~
     datain : IN STD_LOGIC_VECTOR(7 DOWNTO 0);( t2 Q6 F& X/ @$ l
     dataout: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );/ q7 j$ r: u9 L3 t, }$ Y% j
END     tri_s;3 K4 @  H4 \/ g) M) U- i# l7 W
ARCHITECTURE  bhv OF tri_s IS
1 a0 Z$ ~6 T4 N) ?  BBEGIN7 u+ |5 E7 }: E) K
PROCESS(enable,datain)
+ \, S0 W7 O( f* }1 E       BEGIN
/ [) z' o; I- t& M: o0 k       IF enable ='1' THEN dataout <= datain;
  p1 I  F/ F1 y0 Y3 V) b          else dataout <= "ZZZZZZZ"; END IF;. j3 Q& P& Z* J7 @1 `- c
END    PROCESS;
% H. |8 z' r6 ^6 O! aEND    bhv;
! `, l" X9 g1 h# ?' ?( ~: B4 v2 y
编译提示:) j; t) K- B2 _. T6 l* x% T
Error: Top-level design entity "div" is undefined+ T4 i5 [( S" t

) _0 F% a0 {5 I& o7 t3 [9 B+ F是什么原因,求救!!!!!!
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-9-19 10:02 , Processed in 0.068311 second(s), 38 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表