找回密码
 注册

QQ登录

只需一步,快速开始

扫一扫,访问微社区

巢课
电巢直播8月计划
查看: 478|回复: 0
打印 上一主题 下一主题

初识GEL

[复制链接]

1

主题

12

帖子

283

积分

三级会员(30)

Rank: 3Rank: 3Rank: 3

积分
283
跳转到指定楼层
1#
发表于 2015-3-10 16:17 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您!

您需要 登录 才可以下载或查看,没有帐号?注册

x
和大多数电子小白一样,都是先学51然后cm3 ,现在转战TI C6000,开发DSP最大的不同就是就开发流程来讲 可能就是要编写GEL和CMD这时开发单片机 所没有的在下也是初知乍练还望赐教:
GEL文件中主要是对芯片的初始化
下面我们来看下广州创龙的C6748 gel文件源码
在下抛砖引玉,望老鸟赐教,和大家一起学习C6000
/****************************************************************************/  V9 Q# s6 }8 G" v) Z: O+ L
/* */
& ~1 [% R3 X3 Q$ m) |& o/* General Extension Language */+ I$ B. V& l9 \9 c; ?; W0 X0 P
/* 通用扩展语言文件 */4 f$ X# P3 w) X
/* */
8 p9 d- m2 N* f! r, j1 ]/* 2014年08月26日 */
% I- a- {2 @; J3 c5 i/* */
6 Z" x8 S# a% `$ Y, C, U+ Q/****************************************************************************/
& y0 f# v5 I* {/ m6 R#define PLL0_BASE 0x01C11000 /*SYSTEM PLL BASE ADDRESS*/
, \* B1 G9 g1 _7 I+ L$ d# g#define PLL0_PID *(unsigned int*) (PLL0_BASE + 0x00) /*PID*/7 T  b  Y( Q3 B) O) Y. r
#define PLL0_RSTYPE *(unsigned int*) (PLL0_BASE + 0xE4) /*Reset Type status Reg*/( G9 C# h: [. d% h& j& J( v1 H" x
#define PLL0_PLLCTL *(unsigned int*) (PLL0_BASE + 0x100) /*PLL Control Register*/' w% h0 y5 l( ^$ G
#define PLL0_OCSEL *(unsigned int*) (PLL0_BASE + 0x104) /*OBSCLK Select Register*/3 V4 C2 ~3 U1 l2 j7 Q) j- v8 r
#define PLL0_SECCTL *(unsigned int*) (PLL0_BASE + 0x108) /*PLL Secondary Control Register*/
, H8 ~. O6 N* Z; n/ D6 ^#define PLL0_PLLM *(unsigned int*) (PLL0_BASE + 0x110) /*PLL Multiplier*/
7 R. l. d% S$ ^3 F9 W* g, b1 p( X#define PLL0_PREDIV *(unsigned int*) (PLL0_BASE + 0x114) /*Pre divider*/
3 a- q* G. d( v4 D- y# V#define PLL0_PLLDIV1 *(unsigned int*) (PLL0_BASE + 0x118) /*Divider-1*/
0 x3 V6 ^" H, K) J! H#define PLL0_PLLDIV2 *(unsigned int*) (PLL0_BASE + 0x11C) /*Divider-2*/
5 x  M2 H, d: h" M! z#define PLL0_PLLDIV3 *(unsigned int*) (PLL0_BASE + 0x120) /*Divider-3*/% K2 ]5 p) P+ n
#define PLL0_OSCDIV1 *(unsigned int*) (PLL0_BASE + 0x124) /*Oscilator Divider*/" e. M" Q: y5 W  V* c- r" @+ V
#define PLL0_POSTDIV *(unsigned int*) (PLL0_BASE + 0x128) /*Post Divider*/
% j" e$ ~7 c' l* B* b) `2 i#define PLL0_BPDIV *(unsigned int*) (PLL0_BASE + 0x12C) /*Bypass Divider*/8 i! ], F7 B- O9 g$ o% V
#define PLL0_WAKEUP *(unsigned int*) (PLL0_BASE + 0x130) /*Wakeup Reg*/
( F" d0 X5 C3 j9 U' _" Y) H# _#define PLL0_PLLCMD *(unsigned int*) (PLL0_BASE + 0x138) /*Command Reg*/
% b$ j8 N' i; F7 w#define PLL0_PLLSTAT *(unsigned int*) (PLL0_BASE + 0x13C) /*Status Reg*/8 t$ Y& g3 I& b) |2 d+ A
#define PLL0_ALNCTL *(unsigned int*) (PLL0_BASE + 0x140) /*Clock Align Control Reg*/
  T" W! v3 f  q0 ]#define PLL0_DCHANGE *(unsigned int*) (PLL0_BASE + 0x144) /*PLLDIV Ratio Chnage status*/
, Y' a8 R, f5 [  N#define PLL0_CKEN *(unsigned int*) (PLL0_BASE + 0x148) /*Clock Enable Reg*/0 {$ F; M! G: x' y
#define PLL0_CKSTAT *(unsigned int*) (PLL0_BASE + 0x14C) /*Clock Status Reg*/
8 N  w/ N3 ~8 k3 M( I9 E% m& n+ G/ m#define PLL0_SYSTAT *(unsigned int*) (PLL0_BASE + 0x150) /*Sysclk status reg*/
: A  ?" `: s5 Y8 n0 X; M#define PLL0_PLLDIV4 *(unsigned int*) (PLL0_BASE + 0x160) /*Divider 4*/
4 e6 ^( A' T+ M7 O% Q1 m' n: p#define PLL0_PLLDIV5 *(unsigned int*) (PLL0_BASE + 0x164) /*Divider 5*/
4 V' i% o6 F' j+ w% _#define PLL0_PLLDIV6 *(unsigned int*) (PLL0_BASE + 0x168) /*Divider 6*/
4 P+ \: k" c# D, F3 b4 m2 y#define PLL0_PLLDIV7 *(unsigned int*) (PLL0_BASE + 0x16C) /*Divider 7*// ?$ R( M" g, h+ C1 I9 @
#define PLL0_PLLDIV8 *(unsigned int*) (PLL0_BASE + 0x170) /*Divider 8*// G5 e9 r# W/ q; ~2 [
#define PLL0_PLLDIV9 *(unsigned int*) (PLL0_BASE + 0x174) /*Divider 9*/6 b, K- q0 t. s/ f
#define PLL0_PLLDIV10 *(unsigned int*) (PLL0_BASE + 0x178) /*Divider 10*/
8 t+ ?# h. s  v1 {# G#define PLL0_PLLDIV11 *(unsigned int*) (PLL0_BASE + 0x17C) /*Divider 11*// y$ Q# ]; `8 Z! i9 F8 |: N: ]) G
#define PLL0_PLLDIV12 *(unsigned int*) (PLL0_BASE + 0x180) /*Divider 12*/
2 O' H3 o; a7 S1 N' ?1 y7 h#define PLL0_PLLDIV13 *(unsigned int*) (PLL0_BASE + 0x184) /*Divider 13*/
  u8 f- c- G9 d, d8 }) y  X#define PLL0_PLLDIV14 *(unsigned int*) (PLL0_BASE + 0x188) /*Divider 14*/
4 A; x2 Z- l2 m! N! u- U#define PLL0_PLLDIV15 *(unsigned int*) (PLL0_BASE + 0x18C) /*Divider 15*/! V  z0 `, q6 z# ^/ B
#define PLL0_PLLDIV16 *(unsigned int*) (PLL0_BASE + 0x190) /*Divider 16*/
#define PLL1_BASE 0x01E1A000 /*SYSTEM PLL1 BASE ADDRESS*/
: u' A8 A9 U) k#define PLL1_PID *(unsigned int*) (PLL1_BASE + 0x00) /*PID*/
1 g/ |! @3 Z7 `3 X#define PLL1_RSTYPE *(unsigned int*) (PLL1_BASE + 0xE4) /*Reset Type status Reg*/# \$ g& `" X4 d
#define PLL1_PLLCTL *(unsigned int*) (PLL1_BASE + 0x100) /*PLL Control Register*/) w- f7 t) P! ?7 G6 P$ N9 G! O
#define PLL1_OCSEL *(unsigned int*) (PLL1_BASE + 0x104) /*OBSCLK Select Register*/3 N  o0 t/ s& Q* i( u, b
#define PLL1_SECCTL *(unsigned int*) (PLL1_BASE + 0x108) /*PLL Secondary Control Register*/3 w  e% f8 b* e3 }9 S& |! \
#define PLL1_PLLM *(unsigned int*) (PLL1_BASE + 0x110) /*PLL Multiplier*/; _: K2 N8 L! E* E" i
#define PLL1_PREDIV *(unsigned int*) (PLL1_BASE + 0x114) /*Pre divider*/! O/ e% D! M: G4 [8 G
#define PLL1_PLLDIV1 *(unsigned int*) (PLL1_BASE + 0x118) /*Divider-1*/
. j6 Q' }+ w( a6 A' E8 B6 Y#define PLL1_PLLDIV2 *(unsigned int*) (PLL1_BASE + 0x11C) /*Divider-2*/
9 q6 C: h+ e5 g5 q#define PLL1_PLLDIV3 *(unsigned int*) (PLL1_BASE + 0x120) /*Divider-3*/
4 B0 N) x3 w0 V7 u3 V9 b! E  q#define PLL1_OSCDIV1 *(unsigned int*) (PLL1_BASE + 0x124) /*Oscilator Divider*/
7 p7 y0 L! x3 J#define PLL1_POSTDIV *(unsigned int*) (PLL1_BASE + 0x128) /*Post Divider*/3 D: I, J" j7 l9 x; Q( F
#define PLL1_BPDIV *(unsigned int*) (PLL1_BASE + 0x12C) /*Bypass Divider*/
3 V1 c+ C: P# O0 h#define PLL1_WAKEUP *(unsigned int*) (PLL1_BASE + 0x130) /*Wakeup Reg*/# U. r7 g" x0 y' {' L
#define PLL1_PLLCMD *(unsigned int*) (PLL1_BASE + 0x138) /*Command Reg*/" N6 P, u3 k) b1 S  T) T" C
#define PLL1_PLLSTAT *(unsigned int*) (PLL1_BASE + 0x13C) /*Status Reg*/+ u' j" j" [' }
#define PLL1_ALNCTL *(unsigned int*) (PLL1_BASE + 0x140) /*Clock Align Control Reg*/: r7 E  o. h( b2 J" U% E
#define PLL1_DCHANGE *(unsigned int*) (PLL1_BASE + 0x144) /*PLLDIV Ratio Chnage status*/3 Z: Z- k3 B  u8 j  p
#define PLL1_CKEN *(unsigned int*) (PLL1_BASE + 0x148) /*Clock Enable Reg*/
% x  Z  a3 p& X$ c5 T# d8 t! ]#define PLL1_CKSTAT *(unsigned int*) (PLL1_BASE + 0x14C) /*Clock Status Reg*/
1 C. \  ?" t6 W( a) {  L9 U#define PLL1_SYSTAT *(unsigned int*) (PLL1_BASE + 0x150) /*Sysclk status reg*/
4 G- e3 m" O7 W4 ]#define PLL1_PLLDIV4 *(unsigned int*) (PLL1_BASE + 0x160) /*Divider 4*/
( a. }4 ]/ V3 w" _; Z0 Z#define PLL1_PLLDIV5 *(unsigned int*) (PLL1_BASE + 0x164) /*Divider 5*/
; C- _0 C. O8 s! v#define PLL1_PLLDIV6 *(unsigned int*) (PLL1_BASE + 0x168) /*Divider 6*/
7 W7 r2 m. i) W8 J* e4 F#define PLL1_PLLDIV7 *(unsigned int*) (PLL1_BASE + 0x16C) /*Divider 7*/" g/ W% s; e) B. k0 J
#define PLL1_PLLDIV8 *(unsigned int*) (PLL1_BASE + 0x170) /*Divider 8*// `% _! H) n* J% d! T. l+ c) ?1 q! g
#define PLL1_PLLDIV9 *(unsigned int*) (PLL1_BASE + 0x174) /*Divider 9*/
* T8 M& ]0 d) H- _- B1 v#define PLL1_PLLDIV10 *(unsigned int*) (PLL1_BASE + 0x178) /*Divider 10*/
3 Q' S; b8 [* p+ R#define PLL1_PLLDIV11 *(unsigned int*) (PLL1_BASE + 0x17C) /*Divider 11*/
# e+ a. M1 ]1 D( D0 j; t#define PLL1_PLLDIV12 *(unsigned int*) (PLL1_BASE + 0x180) /*Divider 12*/
$ w7 N0 r" f( H* z4 H3 @#define PLL1_PLLDIV13 *(unsigned int*) (PLL1_BASE + 0x184) /*Divider 13*/$ l5 m2 g6 B3 f% m. d- r/ m* ^
#define PLL1_PLLDIV14 *(unsigned int*) (PLL1_BASE + 0x188) /*Divider 14*/" G/ E% I* j3 |$ X8 a
#define PLL1_PLLDIV15 *(unsigned int*) (PLL1_BASE + 0x18C) /*Divider 15*/7 S5 t+ K0 s1 B% `0 h
#define PLL1_PLLDIV16 *(unsigned int*) (PLL1_BASE + 0x190) /*Divider 16*/
/*PSC Module Related Registers*/  R: t2 O: g/ n" x4 t8 }4 m' x" l8 D
#define PSC0_BASE 0x01C10000
9 t4 Z9 X1 c4 J1 H$ P* p#define PSC1_BASE 0x01E27000
#define PSC0_MDCTL (PSC0_BASE+0xA00)
2 B* k# I$ i! ~9 E2 e; T" G7 R% {#define PSC0_MDSTAT (PSC0_BASE+0x800). _1 o% b( E4 p4 H
#define PSC0_PTCMD *(unsigned int*) (PSC0_BASE + 0x120)
* r/ S! S( U0 v6 ?6 V#define PSC0_PTSTAT *(unsigned int*) (PSC0_BASE + 0x128)
#define PSC1_MDCTL (PSC1_BASE+0xA00)" f4 {5 r( p1 `6 G+ `* F  P
#define PSC1_MDSTAT (PSC1_BASE+0x800)
, e& p. s% ^3 P# m% w#define PSC1_PTCMD *(unsigned int*) (PSC1_BASE + 0x120)7 p4 \' b) @3 [  a. K
#define PSC1_PTSTAT *(unsigned int*) (PSC1_BASE + 0x128)
#define PSC_TIMEOUT 200 // This value can be optimized by the user
#define LPSC_EDMA_CC0 0# T: B8 m: C5 G2 h
#define LPSC_EDMA_TC0 1  s/ l( ~' d4 D4 }( y* I
#define LPSC_EDMA_TC1 2) a' ^1 Q, b) C$ a
#define LPSC_EMIFA 3 /*PSC0*/
' x( C9 _7 m. h1 D" o0 I* h; Y0 v5 {#define LPSC_SPI0 4 /*PSC0*/
; h* j. q9 I9 i* H* d#define LPSC_MMCSD0 5 /*PSC0*/& d# Y: A: B3 G: g. \% I
#define LPSC_ARM_AINTC 6
! L4 `2 @% H# C, @" |" R9 `; ^#define LPSC_ARM_RAMROM 7 /*PSC0*/- T3 L5 H+ U) r' y$ S
// LPSC #8 not used
2 t. v  Q& ~) j% w, l#define LPSC_UART0 9 /*PSC0*/
. y( J8 A" J. @8 h% L/ P2 i#define LPSC_SCR0 10% U2 j( Q1 @+ @
#define LPSC_SCR1 11
, Y% J3 T) _; |# C#define LPSC_SCR2 12
* I) D$ E! p' H. [4 p3 y0 k2 }#define LPSC_PRU 13 /*PSC0*/
$ R& v9 ^$ W" j* I. B9 ^) @#define LPSC_ARM 14 /*PSC0*/# J9 }8 e' o) P- M  a- a
#define LPSC_DSP 15 /*PSC0*/
#define LPSC_EDMA_CC1 0
4 u. K9 Z$ F/ p" t4 N#define LPSC_USB20 1 /*PSC1*/
1 P1 E' T( t: E; O- t9 J#define LPSC_USB11 2 /*PSC1*/4 n- ?% I, j* v& b
#define LPSC_GPIO 3 /*PSC1*/
' h) K# V) V( \5 X" T/ s. j#define LPSC_UHPI 4 /*PSC1*/: ^* r8 ?+ O4 T
#define LPSC_EMAC 5 /*PSC1*/
# W# v% S+ f8 D, V#define LPSC_DDR 6 /*PSC1*/
/ k/ W% V  r. e1 Q( J/ _  t#define LPSC_MCASP0 7 /*PSC1*/' b. `' f0 ^& J
#define LPSC_SATA 8 /*PSC1*/
8 E+ z+ V! X. i6 P#define LPSC_VPIF 9 /*PSC1*/
' \! ?( ^+ L2 h8 G& P#define LPSC_SPI1 10 /*PSC1*/
$ ^9 U! k" L6 T2 T: O3 Q3 {) @. S$ k#define LPSC_I2C1 11 /*PSC1*/( i" }& G" E! \  O
#define LPSC_UART1 12 /*PSC1*/9 n  D6 q4 g% ^& ]5 c$ n
#define LPSC_UART2 13 /*PSC1*/
/ g& f! E% k9 n" B#define LPSC_MCBSP0 14 /*PSC1*/
" i* n/ K; u1 @0 w7 B& s/ ^#define LPSC_MCBSP1 15 /*PSC1*/9 `; }) ?+ S% `
#define LPSC_LCDC 16 /*PSC1*/: L: ^- R# ]4 F& ~
#define LPSC_EPWM 17 /*PSC1*/- H  D- M6 |) F4 g. c, Z$ v5 i1 l
#define LPSC_MMCSD1 18: x( q9 T, s' l+ k$ x
#define LPSC_UPP 19- [, I4 S: \6 d1 c4 C' Z* e8 F
#define LPSC_ECAP 20' ]8 b' x- Y: D5 V4 d- k9 W& S. v
#define LPSC_EDMA_TC2 21& I: q- D, O. x
// LPSC #22-23 not used9 U$ Z: T( G; e$ ^2 I- Y0 l/ |5 u
#define LPSC_SCR_F0 24
1 R5 l- E, x; n0 y( {4 d6 G#define LPSC_SCR_F1 25
, p& S  b1 q& L% M#define LPSC_SCR_F2 26
( Q  f/ [/ s# U9 l; S#define LPSC_SCR_F6 27- P( w- o6 v5 ?7 ~$ M
#define LPSC_SCR_F7 28
2 _8 ^: o7 `. q#define LPSC_SCR_F8 29+ }2 E4 [( X. c8 Q# y! u& R
#define LPSC_BR_F7 30
. f+ z) C; I# X" N#define LPSC_SHARED_RAM 31
/*DDR MMR Declaration*/% t7 D  z8 U7 B& [: |' P
#define VTPIO_CTL *(unsigned int*)(0x01E2C000) // VTPIO_CTL Register
! F; c7 M3 @/ S#define EMIFDDR_SDRAM_CFG 0xB0000000
1 h6 f! D) T( |  Y1 o#define EMIFDDR_REVID *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x00) //EMIF Module ID and Revision Register( {9 R: I, A! ^9 z3 {0 Q
#define EMIFDDR_SDRSTAT *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x04) //SDRAM Status Register' o7 I2 L$ h% [+ C1 b
#define EMIFDDR_SDCR *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x08) //SDRAM Bank Config Register
% k# P9 r1 H6 e+ D3 C#define EMIFDDR_SDRCR *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x0C) //SDRAM Refresh Control Register
2 t' J/ E/ H# G' y/ V#define EMIFDDR_SDTIMR1 *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x10) //SDRAM Timing Register18 \2 D  ^) J  H
#define EMIFDDR_SDTIMR2 *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x14) //SDRAM Timing Register2; u/ P# S4 t+ g8 {
#define EMIFDDR_SDCR2 *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x1C) //SDRAM Config Register2& K# ^7 Q1 @2 h1 I7 T
#define EMIFDDR_PBBPR *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x20) //VBUSM Burst Priority Register
9 ]8 [" q! E& S#define EMIFDDR_VBUSMCFG1 *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x28) //VBUSM config Value1 Register' j1 u/ e, e* P: z
#define EMIFDDR_VBUSMCFG2 *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0x2C) //VBUSM config Value2 Register
6 _4 u' v; u7 O, L#define EMIFDDR_IRR *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0xC0) //Interrupt Raw Register- q' D* n& J! i& B; {
#define EMIFDDR_IMR *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0xC4) //Interrupt Masked Register) X$ {: X- e/ ~/ J; e
#define EMIFDDR_IMSR *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0xC8) //Interrupt Mask Set Register
7 q: Y& R( @- y' j; L4 `#define EMIFDDR_IMCR *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0xCC) //Interrupt Mask Clear Register0 A/ p7 ]" m% h/ I- u. w
#define DDRPHYREV *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0xE0) //DDR PHY ID and Revision Register
% \. a" b& ^; H0 w- @+ d$ |8 K7 `#define DRPYC1R *(unsigned int*)(EMIFDDR_SDRAM_CFG + 0xE4) //DDR PHY Control 1 Register
#define DDR2 0 // Do not change this value2 ~0 g- P0 e/ l0 c0 l: p5 k2 t; {" V
#define MDDR 1 // Do not change this value
: N: e: |* G) e; |! T  k) s#define VTP_TIMEOUT 200 // This value can be optimized by the user1 x0 `9 T/ p* B1 p  B
#define DDR_DEBUG 0 // Set this to "1" to program DDR with more timing slack
#define EMIFDDR_BASE_ADDR 0xC0000000  ?# O: B& y, ?9 O7 h
#define EMIFA_BASE_ADDR 0x40000000
' T5 B7 L3 s. Q4 r/ w0 z#define EMIFA_CS2_BASE_ADDR 0x600000000 j; S# \  T0 \: Y) C
#define EMIFA_CS3_BASE_ADDR 0x62000000
4 }; c- }( A! `5 k# Z5 Y) C2 F! p4 W5 N#define EMIFA_CS4_BASE_ADDR 0x64000000$ x8 F4 g' o" A
#define EMIFA_CS5_BASE_ADDR 0x66000000
/*EMIF2.5 MMR Declaration*/+ t$ U5 U8 m$ y) F+ v  Q# _
#define EMIFA 0x68000000
#define EMIFA_AWAITCFG *(unsigned int*)(EMIFA + 0x04)
' b8 V3 f; i2 |* D9 P! A$ E#define EMIFA_SDCFG *(unsigned int*)(EMIFA + 0x08)
  ?5 E" ?) ]$ [) p+ i#define EMIFA_SDREF *(unsigned int*)(EMIFA + 0x0C); u- q% C' D  V7 o( s3 y# M0 M' e- V
#define EMIFA_ACFG2 *(unsigned int*)(EMIFA + 0x10) //Async Bank1 Config Register: t4 f0 A3 _' b7 |
#define EMIFA_ACFG3 *(unsigned int*)(EMIFA + 0x14) //Async Bank2 Config Register/ q' z. B0 A8 U, d5 ~
#define EMIFA_ACFG4 *(unsigned int*)(EMIFA + 0x18) //Async Bank3 Config Register4 F" @- X0 e+ \4 j
#define EMIFA_ACFG5 *(unsigned int*)(EMIFA + 0x1C) //Async Bank4 Config Register
" D7 s3 `: K' [2 C$ \#define EMIFA_SDTIM *(unsigned int*)(EMIFA + 0x20) //SDRAM Timing Register
8 l8 ]4 D/ q& k1 A- T+ S$ l#define EMIFA_SRPD *(unsigned int*)(EMIFA + 0x3C)
+ `+ h  E" B  b#define EMIFA_NANDFCR *(unsigned int*)(EMIFA + 0x60)
/*GPIO MMR*/, |1 w5 n( y5 O5 C
#define GPIO_REG_BASE (0x01E26000)
% X% E( f+ e: g3 P" l' p) g" D#define GPIO_BANK_OFFSET (0x28); A1 n# |/ e+ ]" g: ]" e
#define GPIO_DAT_OFFSET (0x04)
, O* v4 I8 ~# C) A; W# V#define GPIO_SET_OFFSET (0x08)! l# {% f( b/ w3 E5 i8 H
#define GPIO_CLR_OFFSET (0x0C)
- p& h# l& F  \* T#define GPIO_BINTEN *(unsigned int*)(GPIO_REG_BASE + 0x08)
; i: D/ C5 g+ G! {: N, W; l) I#define GPIO_BANK01_BASE (GPIO_REG_BASE + 0x10)% K2 V, W0 z% X+ h, \) }
#define GPIO_BANK23_BASE (GPIO_BANK01_BASE + GPIO_BANK_OFFSET)
. t: A& j2 j+ b  }" i8 s1 I#define GPIO_BANK45_BASE (GPIO_BANK23_BASE + GPIO_BANK_OFFSET)
  o4 U0 x. B/ ^1 F#define GPIO_BANK67_BASE (GPIO_BANK45_BASE + GPIO_BANK_OFFSET)
9 B+ I7 K/ I- @( q#define GPIO_BANK8_BASE (GPIO_BANK67_BASE + GPIO_BANK_OFFSET)2 D& G; S$ U% I' s" l* P
#define GPIO_BANK23_DIR *(unsigned int*)(GPIO_BANK23_BASE)
7 M" L; r" {' e2 P. N2 H5 I#define GPIO_BANK23_DAT *(unsigned int*)(GPIO_BANK23_BASE + GPIO_DAT_OFFSET)
# f) F& t) |% X#define GPIO_BANK23_SET *(unsigned int*)(GPIO_BANK23_BASE + GPIO_SET_OFFSET)
" S8 F3 P* W; E: X#define GPIO_BANK23_CLR *(unsigned int*)(GPIO_BANK23_BASE + GPIO_CLR_OFFSET)
/*System MMR Declaration*/
5 d8 l5 L% B) x3 G9 j' U#define SYS_BASE 0x01C140005 i, _4 u. R, t, T9 K* u
#define HOST0CFG *(unsigned int*)(SYS_BASE + 0x040) //ARM HOST0CFG
0 A. O3 @& S1 t* z' h#define KICK0R *(unsigned int*)(SYS_BASE + 0x038)
9 P. `( T% l& B, w+ I- Q7 ]$ J#define KICK1R *(unsigned int*)(SYS_BASE + 0x03c)7 N4 p& k: h; o9 v
#define PINMUX0 *(unsigned int*)(SYS_BASE + 0x120) //PINMUX0
9 F/ |. J* R1 O, }4 h: V7 q#define PINMUX1 *(unsigned int*)(SYS_BASE + 0x124) //PINMUX1( E4 R7 O. u1 w# ^% I4 N' u
#define PINMUX2 *(unsigned int*)(SYS_BASE + 0x128) //PINMUX2
- |  V! c  O6 E/ C#define PINMUX3 *(unsigned int*)(SYS_BASE + 0x12C) //PINMUX3! }4 r8 S! ~$ d. u" ~& u) J
#define PINMUX4 *(unsigned int*)(SYS_BASE + 0x130) //PINMUX4
" h' b$ M+ l- Q1 R( d$ N7 t. `#define PINMUX5 *(unsigned int*)(SYS_BASE + 0x134) //PINMUX5
( p' W- X2 A. l* ]! J: }2 _- V' Z& @#define PINMUX6 *(unsigned int*)(SYS_BASE + 0x138) //PINMUX6
, y5 F1 ?" K3 Y#define PINMUX7 *(unsigned int*)(SYS_BASE + 0x13C) //PINMUX75 x" j8 k6 |7 f
#define PINMUX8 *(unsigned int*)(SYS_BASE + 0x140) //PINMUX8
) V! M8 R, g3 ~* x#define PINMUX9 *(unsigned int*)(SYS_BASE + 0x144) //PINMUX9
5 \# J/ _8 t9 A% e- s; u#define PINMUX10 *(unsigned int*)(SYS_BASE + 0x148) //PINMUX10
( B& Q: V, X( V7 h! c/ ^#define PINMUX11 *(unsigned int*)(SYS_BASE + 0x14C) //PINMUX114 `. h+ B6 [. b$ D: R
#define PINMUX12 *(unsigned int*)(SYS_BASE + 0x150) //PINMUX12
- a4 m. L5 m' p+ b* }: t1 L+ c#define PINMUX13 *(unsigned int*)(SYS_BASE + 0x154) //PINMUX135 d$ v# h4 u; i4 {, |& P
#define PINMUX14 *(unsigned int*)(SYS_BASE + 0x158) //PINMUX14
9 m- A2 k& c: z8 o0 W7 h; h* b#define PINMUX15 *(unsigned int*)(SYS_BASE + 0x15C) //PINMUX15
% F  d  R1 \7 Q/ P" }3 k! B/ I#define PINMUX16 *(unsigned int*)(SYS_BASE + 0x160) //PINMUX16
) @7 l3 w0 h+ Y' S! u! G$ _#define PINMUX17 *(unsigned int*)(SYS_BASE + 0x164) //PINMUX17/ b( D* D; n# q3 A; [! u# i# G
#define PINMUX18 *(unsigned int*)(SYS_BASE + 0x168) //PINMUX18
6 w+ G% ?  K! s#define PINMUX19 *(unsigned int*)(SYS_BASE + 0x16C) //PINMUX19  u6 q. b9 t. P) m
#define CFGCHIP0 *(unsigned int*)(SYS_BASE + 0x17C)
$ {( j9 w' I0 _, ~#define CFGCHIP2 *(unsigned int*)(SYS_BASE + 0x184)8 P) `8 F$ f  O' {& T
#define CFGCHIP3 *(unsigned int*)(SYS_BASE + 0x188)
1 ~/ c/ ?% m1 W  P  U2 y  z% W#define PD0 0 /*Power Domain-0*/' {/ x* I  H; M+ [) _1 X  x
#define PD1 1 /*Power Domain-1*/
#define PLLEN_MUX_SWITCH 4" m; D6 v$ c* f  o: i# f
#define PLL_LOCK_TIME_CNT 2400
/ f+ [& D0 f/ g& C#define PLL_STABILIZATION_TIME 2000+ K! U7 U& M  `4 ~# L
#define PLL_RESET_TIME_CNT 200
OnTargetConnect( ), F3 t* r, F3 m& r+ ^  E, V8 P% ?
{
+ L+ b, x; B3 U: x& lGEL_TextOut("\tTarget Connected.\n","Output",1,1,1);# g' H6 c/ {5 I  Z% d( S
GEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);
, E7 `/ ]: v; ~4 {/ Y- h1 S) z' o8 m# @4 u, p+ }" V* B5 ?
CPU_PLL_PSC_DDR_Init();
2 J! v9 X- z7 A! X}6 }0 K4 U' B5 R, O; T9 M2 L& F
//建立 菜单 Initiation 子菜单: H7 ]* u3 E! Q
//CPU_PLL_PSC_DDR_Init
. j- e9 H+ G. W9 wmenuitem "Initiation"/ ~4 u" e" v* M4 Y6 k3 J+ J$ N
hotmenu CPU_PLL_PSC_DDR_Init()
3 d5 d' H$ n: _- w: b2 U{
! Y: L% Y0 z# g9 }9 dClear_Memory_Map();
% u$ f: h9 u) RSetup_Memory_Map();
1 f0 @- V7 ?: ~# Y/ K, O
' p4 N- }9 b# L# `5 |PSC_All_On();
1 Z0 h2 M8 s" a( i1 ECore_456MHz_DDR2_156MHz();
# G: t7 Q7 m0 B& [PINMUX();- A3 R7 Y# l- {8 `- A
Wake_DSP(); //只在OMAP下才有效 在使能ARM核之后需要使能DSP才可以调用DSP核8 S* \! x, {. J, Y4 c  Z) s* H) f
Wake_PRU();- p! @& E+ o/ S
}
Wake_DSP()+ ~1 Y, O. y( c1 r
{
+ g5 g  w* F% c) }7 q: WPSC0_LPSC_enableCore(1, LPSC_DSP);
GEL_TextOut("\tDSP Wake Complete.(Only For OMAPL138)\n","Output",1,1,1);
6 K: z+ Z5 k, y% T4 I: S2 U* nGEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);, q* K/ f# G8 d0 \: V4 a# n1 ^
}
Wake_PRU()
0 ]8 a8 j$ |7 T2 \) X+ R{- p5 O4 a4 c: x# p  v9 W
PSC0_LPSC_enableCore(1, LPSC_PRU);
GEL_TextOut("\tPRU Wake Complete.(Only For OMAPL138)\n","Output",1,1,1);* A2 v' V! N4 P0 q9 z- p
GEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);
* B! G# d9 i) I' ~}
PINMUX()3 J4 Z2 M/ e+ Q6 Q) W6 ^; ~1 r
{
0 J8 p) e5 r9 NPINMUX0 = 0x88888888;
$ n( ^# V3 Q! U2 j) X. M$ w* nPINMUX1 = 0x82828288;
% ^) j. b  f! |0 ~+ \& D& ^PINMUX2 = 0x88888888;
8 @8 R+ U4 l" Y0 c7 D* a* I3 DPINMUX3 = 0x88888888;2 n, ?9 I' ^; {6 s0 q
PINMUX4 = 0x22222288;
. @$ j( q  u4 {7 [% ?PINMUX5 = 0x11118102;4 a/ p3 g2 @2 G6 P2 d$ O7 K: d; W( c: X
PINMUX6 = 0x11111111;0 j# b0 l3 Y8 ^4 l! z
PINMUX7 = 0x11111111;
- X2 V9 f$ g6 w6 a! c! `& vPINMUX8 = 0x11111111;
# _( C- w4 M8 f2 ePINMUX9 = 0x11111111;/ A& k) ^0 \5 K: F
PINMUX10 = 0x11118888;
! v6 k0 ^, t: g* gPINMUX11 = 0x11111111;2 h  W  ?- z  h% |6 V
PINMUX12 = 0x11111111;/ U; i, Z) C, S' z' b% J
PINMUX13 = 0x44448888;. O& Y) f! q5 P% t8 R1 z; ?
PINMUX14 = 0x44444488;  v( W7 Y" r4 H3 u6 u. a
PINMUX15 = 0x44444444;
# K! E6 o1 |# U# cPINMUX16 = 0x88888844;) a8 c! s$ ]9 P
PINMUX17 = 0x88888888;
* M; K, @: [' w8 H; o3 pPINMUX18 = 0x88888888;0 r, d7 y" W$ c: \4 G/ z
PINMUX19 = 0x18888888;2 ^9 S4 ^; {0 z
GEL_TextOut("\tPINMUX Enable Complete.\n","Output",1,1,1);
- |" t+ x6 `1 S( U( a5 r, E1 aGEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);+ D/ o1 D2 F( T/ U0 M, K3 s; y1 k6 J
}
Clear_Memory_Map(): e$ |+ {7 Y1 X* F* `! D* N& E
{
3 n& k: A$ V: o. _* t, RGEL_MapOff( );
& d0 n$ ]  Q3 s* aGEL_MapReset( );! _$ r2 M( i2 m! Q# t
GEL_TextOut("\tMemory Map Cleared.\n","Output",1,1,1);) X6 F& n' o: [, D
GEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);
. k* m0 {) B/ d1 E}
Setup_Memory_Map()
4 n# x5 }2 i9 ]7 E6 i% |8 i{3 p6 C. ~5 S5 l& p6 b
GEL_MapOn( );! }' l. c+ P& s3 T5 p1 b" |1 ~
GEL_MapReset( );
/* PRU */
5 ?1 y$ @* k8 g$ D3 }1 jGEL_MapAddStr( 0x00000000, 0, 0x00000FFF, "R|W|AS4", 0 ); // PRUSS Local Address Space
9 s& J- |3 z0 D. vGEL_MapAddStr( 0x01C30000, 0, 0x01C301FF, "R|W|AS4", 0 ); // Data RAM 0  d& }6 h# K; \5 `* z: X
GEL_MapAddStr( 0x01C32000, 0, 0x01C321FF, "R|W|AS4", 0 ); // Data RAM 1. L# K# |% ^" x: l- r
GEL_MapAddStr( 0x01C34000, 0, 0x01C36FFF, "R|W|AS4", 0 ); // INTC Registers; ]6 t# h! L. U( o* S
GEL_MapAddStr( 0x01C37000, 0, 0x01C377FF, "R|W|AS4", 0 ); // PRU0 Registers
: P8 _4 J* N2 O8 zGEL_MapAddStr( 0x01C37800, 0, 0x01C37FFF, "R|W|AS4", 0 ); // PRU1 Registers
% o6 i. F+ ?+ l# n3 e" ]6 ?  vGEL_MapAddStr( 0x01C38000, 0, 0x01C38FFF, "R|W|AS4", 0 ); // PRU0 Instruction RAM# V0 A; }% M  Z
GEL_MapAddStr( 0x01C3C000, 0, 0x01C3CFFF, "R|W|AS4", 0 ); // PRU1 Instruction RAM& B& p! C2 t( h5 T' m

* p8 V* t. k' u! j+ u! A' u( Q6 f; \/* ARM */
( k3 L6 A8 v5 o# [GEL_MapAddStr( 0xFFFD0000, 0, 0x00010000, "R|W|AS4", 0 ); // ARM Local ROM
, y3 T7 n- a4 p# BGEL_MapAddStr( 0xFFFEE000, 0, 0x00001000, "R|W|AS4", 0 ); // ARM INTC% R# P4 j" l  e0 M
GEL_MapAddStr( 0xFFFF0000, 0, 0x00002000, "R|W|AS4", 0 ); // ARM Local RAM' G5 R( u% w# {" G5 S$ p
GEL_MapAddStr( 0x01BC0000, 0, 0x00001000, "R|W|AS4", 0 ); // ARM ETB Memory) z6 I: p- a" k- k
GEL_MapAddStr( 0x01BC1000, 0, 0x00000800, "R|W|AS4", 0 ); // ARM ETB Regs
  f' z/ T- I+ WGEL_MapAddStr( 0x01BC1800, 0, 0x00000100, "R|W|AS4", 0 ); // ARM Ice Crusher
/* DSP */
8 u2 P4 `5 }8 k0 L& L6 A) WGEL_MapAddStr( 0x00700000, 0, 0x00100000, "R|W|AS4", 0 ); // DSP L2 ROM
3 J& N4 m. Z( {/ W$ r1 AGEL_MapAddStr( 0x00800000, 0, 0x00040000, "R|W|AS4", 0 ); // DSP l2 RAM% I4 K2 R$ g! ]1 ~! [- |2 o
GEL_MapAddStr( 0x00E00000, 0, 0x00008000, "R|W|AS4", 0 ); // DSP L1P RAM; M) R5 m! s1 j% F8 ]& e9 \
GEL_MapAddStr( 0x00F00000, 0, 0x00008000, "R|W|AS4", 0 ); // DSP L1D RAM) \! A* B( l, o
GEL_MapAddStr( 0x01800000, 0, 0x00010000, "R|W|AS4", 0 ); // DSP Interrupt Controller6 a% F; _* L: y4 q' R
GEL_MapAddStr( 0x01810000, 0, 0x00001000, "R|W|AS4", 0 ); // DSP Powerdown Controller! E, {+ ^- z' X% x; Z
GEL_MapAddStr( 0x01811000, 0, 0x00001000, "R|W|AS4", 0 ); // DSP Security ID0 D; K, k9 m% n, s& h' C
GEL_MapAddStr( 0x01812000, 0, 0x00008000, "R|W|AS4", 0 ); // DSP Revision ID
6 l( {, o/ K( _* [( S  o' D* SGEL_MapAddStr( 0x01820000, 0, 0x00010000, "R|W|AS4", 0 ); // DSP EMC
: S6 g4 e1 X$ V, [" }5 MGEL_MapAddStr( 0x01830000, 0, 0x00010000, "R|W|AS4", 0 ); // DSP Internal Reserved1 U2 {: m/ h2 [
GEL_MapAddStr( 0x01840000, 0, 0x00010000, "R|W|AS4", 0 ); // DSP Memory System
GEL_MapAddStr( 0x11700000, 0, 0x00100000, "R|W|AS4", 0 ); // DSP L2 ROM (mirror)
  K' p, u  P6 l$ J- g. N1 s- {GEL_MapAddStr( 0x11800000, 0, 0x00040000, "R|W|AS4", 0 ); // DSP l2 RAM (mirror)
3 U: J/ J; C! e" J5 N) g9 Q* DGEL_MapAddStr( 0x11E00000, 0, 0x00008000, "R|W|AS4", 0 ); // DSP L1P RAM (mirror)
/ R3 o. y; ]: y4 _/ z* B5 BGEL_MapAddStr( 0x11F00000, 0, 0x00008000, "R|W|AS4", 0 ); // DSP L1D RAM (mirror); ~+ j; c2 b% b1 t0 Q3 U5 j! p2 d
  ~! p& |: ^* H! p3 o
/* Shared RAM */
9 M6 W- H8 G( q/ X, b9 J1 Z) EGEL_MapAddStr( 0x80000000, 0, 0x00020000, "R|W|AS4", 0 ); // Shared RAM
/* EMIFA */
% t' H) }- {3 f2 s+ c$ R0 DGEL_MapAddStr( 0x40000000, 0, 0x20000000, "R|W|AS4", 0 ); // EMIFA SDRAM Data. h. X( U# F+ b# W. l9 s3 Z5 \
GEL_MapAddStr( 0x60000000, 0, 0x02000000, "R|W|AS4", 0 ); // EMIFA CS2( @- X$ j3 q- a
GEL_MapAddStr( 0x62000000, 0, 0x02000000, "R|W|AS4", 0 ); // EMIFA CS3  h* I8 O, _9 T5 D- a2 a8 \
GEL_MapAddStr( 0x64000000, 0, 0x02000000, "R|W|AS4", 0 ); // EMIFA CS4# N$ |  [6 Q% K7 F9 V
GEL_MapAddStr( 0x66000000, 0, 0x02000000, "R|W|AS4", 0 ); // EMIFA CS5
& i6 e  u5 ^" `( N+ r- Z1 AGEL_MapAddStr( 0x68000000, 0, 0x00008000, "R|W|AS4", 0 ); // EMIFA Control
/* DDR */0 z2 H1 x0 e' @0 j9 F. O4 m8 k
GEL_MapAddStr( 0xB0000000, 0, 0x00008000, "R|W|AS4", 0 ); // DDR Control
- n6 B1 V; n( i3 t, X4 d) ?GEL_MapAddStr( 0xC0000000, 0, 0x20000000, "R|W|AS4", 0 ); // DDR Data
/* Peripherals */# M8 b8 J8 y- I
GEL_MapAddStr( 0x01C00000, 0, 0x00008000, "R|W|AS4", 0 ); // TPCC0& R( o9 ]- X. ^4 ^+ ~
GEL_MapAddStr( 0x01C08000, 0, 0x00000400, "R|W|AS4", 0 ); // TPTC0/ R: }" J( m6 r3 v8 P8 W
GEL_MapAddStr( 0x01C08400, 0, 0x00000400, "R|W|AS4", 0 ); // TPTC1
+ H# g% S' Q! v3 M# \' gGEL_MapAddStr( 0x01C10000, 0, 0x00001000, "R|W|AS4", 0 ); // PSC 0
: C) T3 I  y6 Y$ Q( t1 l2 I9 pGEL_MapAddStr( 0x01C11000, 0, 0x00001000, "R|W|AS4", 0 ); // PLL Controller 0
2 X4 Q; p8 C8 O: g" Z, s# r1 fGEL_MapAddStr( 0x01C12000, 0, 0x00001000, "R|W|AS4", 0 ); // Key Manager( W- E# E( X' N3 s9 x
GEL_MapAddStr( 0x01C13000, 0, 0x00001000, "R|W|AS4", 0 ); // SecCo
3 v7 m4 F' K6 DGEL_MapAddStr( 0x01C14000, 0, 0x00001000, "R|W|AS4", 0 ); // SysConfig% w1 c! O) b; K2 d6 H& n
GEL_MapAddStr( 0x01C16000, 0, 0x00001000, "R|W|AS4", 0 ); // IOPU 0
9 r1 M% }1 X& w7 Q' ~( `' |. S5 nGEL_MapAddStr( 0x01C17000, 0, 0x00001000, "R|W|AS4", 0 ); // IOPU 2
  X' M' d# V( v" ]4 DGEL_MapAddStr( 0x01C20000, 0, 0x00001000, "R|W|AS4", 0 ); // Timer64P 0# z5 \1 u# [  y8 ^8 e0 x
GEL_MapAddStr( 0x01C21000, 0, 0x00001000, "R|W|AS4", 0 ); // Timer64P 1/ D4 U3 s2 R7 ~3 u) f. v: n
GEL_MapAddStr( 0x01C22000, 0, 0x00001000, "R|W|AS4", 0 ); // I2C 08 [+ l4 K! L' ]7 C  @
GEL_MapAddStr( 0x01C23000, 0, 0x00001000, "R|W|AS4", 0 ); // RTC
) w" M6 l$ R- |GEL_MapAddStr( 0x01C24000, 0, 0x00001000, "R|W|AS4", 0 ); // IOPU 1
( {  C+ R- _3 g( I; Q! S* x$ iGEL_MapAddStr( 0x01C30000, 0, 0x00000200, "R|W|AS4", 0 ); // PRU Data RAM 0
; O! `( V1 `3 D! l" @* J& z7 lGEL_MapAddStr( 0x01C32000, 0, 0x00000200, "R|W|AS4", 0 ); // PRU Data RAM 1& n6 k& M  x( |! C
GEL_MapAddStr( 0x01C34000, 0, 0x00004000, "R|W|AS4", 0 ); // PRU Control Registers
0 [1 p% p- o7 E1 j$ n" L9 P( A6 cGEL_MapAddStr( 0x01C38000, 0, 0x00001000, "R|W|AS4", 0 ); // PRU 0 Config Memory+ [8 g  w' Z  O
GEL_MapAddStr( 0x01C3C000, 0, 0x00001000, "R|W|AS4", 0 ); // PRU 1 Config Memory! K9 i: J! v0 N% V+ {, M
GEL_MapAddStr( 0x01C40000, 0, 0x00001000, "R|W|AS4", 0 ); // MMC/SD 05 a* K6 z+ C/ [6 u
GEL_MapAddStr( 0x01C41000, 0, 0x00001000, "R|W|AS4", 0 ); // SPI 03 v3 s. L! b9 d9 c2 l
GEL_MapAddStr( 0x01C42000, 0, 0x00001000, "R|W|AS4", 0 ); // UART 08 e9 F; [9 |* g* A, ^
GEL_MapAddStr( 0x01C43000, 0, 0x00001000, "R|W|AS4", 0 ); // MPU 0
9 k  w' w6 ?! A% ]GEL_MapAddStr( 0x01D00000, 0, 0x00001000, "R|W|AS4", 0 ); // McASP 0 Control% ?; w; X3 M' t6 l* L% h
GEL_MapAddStr( 0x01D01000, 0, 0x00001000, "R|W|AS4", 0 ); // McASP 0 FIFO Ctrl+ F8 u! }# K7 q
GEL_MapAddStr( 0x01D02000, 0, 0x00001000, "R|W|AS4", 0 ); // McASP 0 Data% ~* h+ B) s3 I
GEL_MapAddStr( 0x01D0C000, 0, 0x00001000, "R|W|AS4", 0 ); // UART 1
9 [0 Y* E% i& y$ j' x( k* zGEL_MapAddStr( 0x01D0D000, 0, 0x00001000, "R|W|AS4", 0 ); // UART 2+ R- E* u0 t2 K7 D  \3 N/ P" H
GEL_MapAddStr( 0x01D0E000, 0, 0x00001000, "R|W|AS4", 0 ); // IOPU 4
/ E$ g! P( M* Z* Y3 rGEL_MapAddStr( 0x01D10000, 0, 0x00000800, "R|W|AS4", 0 ); // McBSP 0 Control9 k$ w) S/ t+ E. _0 O
GEL_MapAddStr( 0x01D10800, 0, 0x00000200, "R|W|AS4", 0 ); // McBSP 0 FIFO Ctrl7 H' Y. s- F8 L/ N9 z
GEL_MapAddStr( 0x01D11000, 0, 0x00000800, "R|W|AS4", 0 ); // McBSP 1 Control
( Y* K" @+ l; G0 l6 F( RGEL_MapAddStr( 0x01D11800, 0, 0x00000200, "R|W|AS4", 0 ); // McBSP 1 FIFO Ctrl
* l6 R8 t2 ~4 P; `5 }GEL_MapAddStr( 0x01E00000, 0, 0x00010000, "R|W|AS4", 0 ); // USB0 (USB HS) Cfg5 K, L# O! |) ~* t2 E4 ~" ~. ~
GEL_MapAddStr( 0x01E10000, 0, 0x00001000, "R|W|AS4", 0 ); // UHPI Cfg3 Y0 |/ j- Y2 }9 Z. f0 _
GEL_MapAddStr( 0x01E11000, 0, 0x00001000, "R|W|AS4", 0 ); // UHPI (IODFT)
6 o+ U. T) d. w' j/ u$ ~- RGEL_MapAddStr( 0x01E13000, 0, 0x00001000, "R|W|AS4", 0 ); // LCD Controller
0 I9 O% \2 t$ g1 m/ wGEL_MapAddStr( 0x01E14000, 0, 0x00001000, "R|W|AS4", 0 ); // MPU 1
6 ?0 C" ], R# {0 [. L9 e+ lGEL_MapAddStr( 0x01E15000, 0, 0x00001000, "R|W|AS4", 0 ); // MPU 2
% y% J) @+ Q3 L4 ]3 `" ZGEL_MapAddStr( 0x01E16000, 0, 0x00001000, "R|W|AS4", 0 ); // UPP
2 T' N/ V5 y0 {, I' WGEL_MapAddStr( 0x01E17000, 0, 0x00001000, "R|W|AS4", 0 ); // VPIF# S0 I9 U  A$ e( t; L: t
GEL_MapAddStr( 0x01E18000, 0, 0x00002000, "R|W|AS4", 0 ); // SATA5 I- L2 q4 o3 y4 i! p" f
GEL_MapAddStr( 0x01E1A000, 0, 0x00001000, "R|W|AS4", 0 ); // PLL Controller 1
/ V( j; u# m7 v, d; j( U- eGEL_MapAddStr( 0x01E1B000, 0, 0x00001000, "R|W|AS4", 0 ); // MMC/SD 1
% R7 A' {) G, S! O. g2 B( SGEL_MapAddStr( 0x01E20000, 0, 0x00002000, "R|W|AS4", 0 ); // EMAC CPPI9 N$ _# m) ~8 Q
GEL_MapAddStr( 0x01E22000, 0, 0x00001000, "R|W|AS4", 0 ); // EMAC CONTROL registers9 p. o7 r3 X* e! a: X6 a' C
GEL_MapAddStr( 0x01E23000, 0, 0x00001000, "R|W|AS4", 0 ); // EMAC registers$ u! o! Z* X* _! o- U$ h! L
GEL_MapAddStr( 0x01E24000, 0, 0x00001000, "R|W|AS4", 0 ); // EMAC MDIO port
- Y- i5 R4 i. UGEL_MapAddStr( 0x01E25000, 0, 0x00001000, "R|W|AS4", 0 ); // USB1 (USB FS)
1 z$ g: h9 @  t/ t5 F& TGEL_MapAddStr( 0x01E26000, 0, 0x00001000, "R|W|AS4", 0 ); // GPIO
0 z0 G1 V# e. @  ]GEL_MapAddStr( 0x01E27000, 0, 0x00001000, "R|W|AS4", 0 ); // PSC 18 h6 [/ }. ]) S) j
GEL_MapAddStr( 0x01E28000, 0, 0x00001000, "R|W|AS4", 0 ); // I2C 18 n# B" S) H8 T1 j6 i4 k$ N
GEL_MapAddStr( 0x01E29000, 0, 0x00001000, "R|W|AS4", 0 ); // IOPU 31 t% U* f9 G/ r6 O% I3 U* k
GEL_MapAddStr( 0x01E2A000, 0, 0x00001000, "R|W|AS4", 0 ); // PBIST Controller
, S% `$ J/ x) c, @4 k9 O* YGEL_MapAddStr( 0x01E2B000, 0, 0x00001000, "R|W|AS4", 0 ); // PBIST Combiner
1 l  x# ?* _5 Q& l: {8 iGEL_MapAddStr( 0x01E2C000, 0, 0x00001000, "R|W|AS4", 0 ); // System Config
GEL_MapAddStr( 0x01E30000, 0, 0x00008000, "R|W|AS4", 0 ); // TPCC1
# B: J# T! E$ N4 Q9 r& e# RGEL_MapAddStr( 0x01E38000, 0, 0x00000400, "R|W|AS4", 0 ); // TPTC27 e2 M5 w8 K: Q/ U8 j* y* H; y) [
GEL_MapAddStr( 0x01F00000, 0, 0x00001000, "R|W|AS4", 0 ); // EPWM 0  s. A4 p6 a; y9 k: s6 r
GEL_MapAddStr( 0x01F01000, 0, 0x00001000, "R|W|AS4", 0 ); // HRPWM 01 Z1 c% B) C& n. u' n+ H" }
GEL_MapAddStr( 0x01F02000, 0, 0x00001000, "R|W|AS4", 0 ); // EPWM 1
" C# U1 Z8 o, B2 Z& l) e' U% ?GEL_MapAddStr( 0x01F03000, 0, 0x00001000, "R|W|AS4", 0 ); // HRPWM 1: \* W6 W/ i  W$ ]: E  T$ x
GEL_MapAddStr( 0x01F06000, 0, 0x00001000, "R|W|AS4", 0 ); // ECAP 0
7 o! e$ C" b- K. f, h* mGEL_MapAddStr( 0x01F07000, 0, 0x00001000, "R|W|AS4", 0 ); // ECAP 1
$ ^' |0 I9 O; I9 n% R% s6 RGEL_MapAddStr( 0x01F08000, 0, 0x00001000, "R|W|AS4", 0 ); // ECAP 2) Y) l5 S0 ^/ J7 z4 L
GEL_MapAddStr( 0x01F0B000, 0, 0x00001000, "R|W|AS4", 0 ); // IOPU 55 [3 J# l. @4 \! X0 ^7 ?6 Y) t* u
GEL_MapAddStr( 0x01F0C000, 0, 0x00001000, "R|W|AS4", 0 ); // Timer64P 2
! n/ S/ F; ?$ W7 z5 zGEL_MapAddStr( 0x01F0D000, 0, 0x00001000, "R|W|AS4", 0 ); // Timer64P 3
5 N2 f2 @2 w& Y; T* lGEL_MapAddStr( 0x01F0E000, 0, 0x00001000, "R|W|AS4", 0 ); // SPI15 K: S: l" g2 d& |1 H5 \1 V9 k% V: ?+ N
GEL_MapAddStr( 0x01F10000, 0, 0x00001000, "R|W|AS4", 0 ); // McBSP 0 FIFO Data& a1 f$ q" j1 T+ a& i6 E8 W0 ^
GEL_MapAddStr( 0x01F11000, 0, 0x00001000, "R|W|AS4", 0 ); // McBSP 1 FIFO Data* h! y+ k+ X  \$ l9 ^4 v4 H
GEL_TextOut("\tMemory Map Setup Complete.\n","Output",1,1,1);2 J: Q) H+ H2 ]* ~( s( O
GEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);
0 H( r6 c! I& i8 f}
Set_Core_456MHz() {
  p' u8 P; {  d+ _# |" hdevice_PLL0(0,18,0,0,1,3,9);( A0 B# e3 q7 B' L3 A; u
GEL_TextOut("\tPLL0 init done for Core:456MHz, EMIFA:114MHz\n","Output",1,1,1);
- m3 c) S6 E! l; F8 y/ u  A}
Set_DDRPLL_156MHz() {
) P. k% _7 {  o; ^0 z, jdevice_PLL1(12,0,0,1,2);
' R% u0 h  W1 O+ g# ~2 FGEL_TextOut("\tPLL1 init done for DDR:156MHz\n","Output",1,1,1);
4 I. X; i7 T# K8 W) p& s}
Set_DDR2_156MHz() {
  Y0 e7 e6 f3 T8 p* \GEL_TextOut("\tDDR initialization is in progress....\n","Output",1,1,1);$ l, R9 C% c' |8 w7 s7 y
Set_DDRPLL_156MHz();5 R7 j; o, S) C; k5 S
DEVICE_DDRConfig();& U% y# k' J3 @& s
GEL_TextOut("\tDDR2 init for 156 MHz is done\n","Output",1,1,1);
  s7 ~8 Z( B3 T2 r}
Core_456MHz_DDR2_156MHz() {* q: N0 v  Z2 V, k7 C+ i2 g' H$ L
Set_Core_456MHz();, T9 G8 y$ e+ L5 g' h
Set_DDR2_156MHz();
$ q& A, I6 y' Y& W1 u5 j4 I% i* tGEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);/ U5 h. p, y5 q, n  [
}
PSC_All_On() {
" b, A0 ]8 o6 L2 w) s) {$ ~// PSC0! X5 ^' V: U3 i( v* ~& q
PSC0_LPSC_enable(0, LPSC_EDMA_CC0);& j. T$ k9 H3 O
PSC0_LPSC_enable(0, LPSC_EDMA_TC0);9 @$ e& z3 n5 Y; ?3 g) o
PSC0_LPSC_enable(0, LPSC_EDMA_TC1);
/ n) Z) D  d) @7 gPSC0_LPSC_enable(0, LPSC_EMIFA);
$ \& _% f" G  v2 ]. B/ RPSC0_LPSC_enable(0, LPSC_SPI0);, B! V) C+ Y; X. s9 j6 b% \
PSC0_LPSC_enable(0, LPSC_MMCSD0);& O" y: L: R/ ?( |  l  C+ I
PSC0_LPSC_enable(0, LPSC_ARM_AINTC);
: D) J1 d) {9 C% J' ]PSC0_LPSC_enable(0, LPSC_ARM_RAMROM);+ x: ~8 G: o. t, u" p
PSC0_LPSC_enable(0, LPSC_UART0);' N/ E' v' Q/ d% C& @
PSC0_LPSC_enable(0, LPSC_SCR0);
" |( i+ c2 q! nPSC0_LPSC_enable(0, LPSC_SCR1);! b  |- o- S- S  {, @( _; A7 _
PSC0_LPSC_enable(0, LPSC_SCR2);
// PSC1
+ B) x% M* K% X2 a+ F6 _$ _PSC1_LPSC_enable(0, LPSC_EDMA_CC1);; L( a. j/ T' V
PSC1_LPSC_enable(0, LPSC_USB20);
: _; }% \; [5 Q7 C( q, `PSC1_LPSC_enable(0, LPSC_USB11);
% }( Q) ^: U! a3 y# P9 b0 A2 wCFGCHIP2 = 0x09F2; //Enable USB clock, PHY_PLLON, glue logic mux(USB2 ref clk input)
8 \+ Z  S: A. V0 H& I1 QPSC1_LPSC_enable(0, LPSC_GPIO);; b: K& j8 y/ V  T" v/ V3 \  }
PSC1_LPSC_enable(0, LPSC_UHPI);$ l  T0 Y9 ^6 m0 b" c. a
PSC1_LPSC_enable(0, LPSC_EMAC);
" T6 U. K9 [2 ]PSC1_LPSC_enable(0, LPSC_MCASP0);& Y& x3 L- `) Z3 T% c
PSC1_LPSC_force(LPSC_SATA);; j# M; P8 f" F0 ]9 Y
PSC1_LPSC_enable(0, LPSC_SATA);
, |1 {5 |& ~5 `8 k; [9 EPSC1_LPSC_enable(0, LPSC_VPIF);2 D( U& Y: X4 J; K7 X5 y& L
PSC1_LPSC_enable(0, LPSC_SPI1);
. N  i3 z& }" l) \; c# |; XPSC1_LPSC_enable(0, LPSC_I2C1);
- \2 h; t8 ?+ R% x( i) b/ k" H- hPSC1_LPSC_enable(0, LPSC_UART1);# v  o2 k5 d' x# K& h2 {& o
PSC1_LPSC_enable(0, LPSC_UART2);; b+ u* E( Z1 q, {% E' {( s
PSC1_LPSC_enable(0, LPSC_MCBSP0);
! v( d3 o# ^& pPSC1_LPSC_enable(0, LPSC_MCBSP1);/ U4 ~# h2 k- e; _
PSC1_LPSC_enable(0, LPSC_LCDC);6 x, }+ f5 D' M. }
PSC1_LPSC_enable(0, LPSC_EPWM);0 j$ W: k$ a9 X
PSC1_LPSC_enable(0, LPSC_MMCSD1);' h& t5 ?1 m/ {6 _
PSC1_LPSC_enable(0, LPSC_UPP);
, o* F# c' Z+ T. c. |# e* PPSC1_LPSC_enable(0, LPSC_ECAP);/ \6 D$ T& g5 M* z* u% k
PSC1_LPSC_enable(0, LPSC_EDMA_TC2);
4 K5 `& J' s- q0 |( i6 PPSC1_LPSC_enable(0, LPSC_SCR_F0);
) G! ?! E3 K% V' x! NPSC1_LPSC_enable(0, LPSC_SCR_F1);& V- t& Z3 z6 m' `$ S& M9 a
PSC1_LPSC_enable(0, LPSC_SCR_F2);3 w" v# {8 k* x- ~- U- q
PSC1_LPSC_enable(0, LPSC_SCR_F6);& T( y* }' |# ]
PSC1_LPSC_enable(0, LPSC_SCR_F7);
; I- U% |, G  |1 P& _/ nPSC1_LPSC_enable(0, LPSC_SCR_F8);
+ V; S( }+ e5 y8 V& q" X$ ~! EPSC1_LPSC_enable(0, LPSC_BR_F7);6 d# ^1 W1 L+ g9 |& M0 R7 g' |
PSC1_LPSC_enable(0, LPSC_SHARED_RAM);
GEL_TextOut("\tPSC Enable Complete.\n","Output",1,1,1);: F% T% u1 h" I6 K
GEL_TextOut("\t---------------------------------------------\n","Output",1,1,1);
: V% Y9 e+ R+ @) v4 G. B, p3 J}
/**************************************************************************************************************************************************
, U; t4 h, A; Y6 b0 K% @7 J% yDevice_PLL0 init:
CLKMODE - 0---->On Chip Oscilator 1---->External Oscilator
  r0 o0 ~' d3 C9 Z3 t. WPLL0_SYSCLK1 - Fixed ratio /12 \0 Q3 p/ X: y8 n' y
PLL0_SYSCLK2 - Fixed ratio /2
# n; ?/ e/ l0 x' F/ C' h; L9 v% v2 bPLL0_SYSCLK3 - Variable Divider (EMIFA)# `0 G# U1 m9 B4 Q
PLL0_SYSCLK4 - Fixed ratio /43 t- d- _6 `1 D9 N
PLL0_SYSCLK5 - Not used -- do nothing
8 L7 h! n, l& ~4 O; ^* n8 W* EPLL0_SYSCLK6 - Fixed ratio /1
; K5 {" r5 {+ c+ O  {  dPLL0_SYSCLK7 - Variable Divider (RMII)
4 f0 X; ?+ @; P  o/ u/ F( W) A******************************************************************************************************************************************************/
( Q4 b: i& k  c+ C5 ^0 n' jdevice_PLL0(unsigned int CLKMODE, unsigned int PLLM, unsigned int POSTDIV,unsigned int PLLDIV1, unsigned int PLLDIV2, unsigned int PLLDIV3, unsigned int PLLDIV7 ) {
unsigned int i=0;
/* Clear PLL lock bit */" v9 B7 q6 E$ V; J1 f$ _, _/ I9 T
CFGCHIP0 &= ~(0x00000010);
/* Set PLLENSRC '0',bit 5, PLL Enable(PLLEN) selection is controlled through MMR */
5 [, V/ y$ @0 e, K4 X7 hPLL0_PLLCTL &= ~(0x00000020);
/* PLLCTL.EXTCLKSRC bit 9 should be left at 0 for Freon */9 }. E& {6 |3 G( u; N- o( q! [
PLL0_PLLCTL &= ~(0x00000200);
/* Set PLLEN=0 to put in bypass mode*/' }' f+ e& e# s4 _# {% z( y
PLL0_PLLCTL &= ~(0x00000001);
/*wait for 4 cycles to allow PLLEN mux switches properly to bypass clock*/
) s# F, P' s. }8 Nfor(i=0; i<PLLEN_MUX_SWITCH; i++) {;}
/* Select the Clock Mode bit 8 as External Clock or On Chip Oscilator*/
# `, H" S6 g/ Q: m. MPLL0_PLLCTL &= 0xFFFFFEFF;4 H. k! F* J% ]5 o' \. A
PLL0_PLLCTL |= (CLKMODE << 8);
/*Clear PLLRST bit to reset the PLL */8 f( ]3 x) K9 `  G  D
PLL0_PLLCTL &= ~(0x00000008);
/* Disable the PLL output*/% B/ }3 e  i9 z* X- j
PLL0_PLLCTL |= (0x00000010);
/* PLL initialization sequence/ k5 {9 [3 r4 a0 R7 I0 P+ @, k% A1 `* o
Power up the PLL by setting PWRDN bit set to 0 */
% @' b; P% C8 O- kPLL0_PLLCTL &= ~(0x00000002);
/* Enable the PLL output*/" `7 N% S$ W, u& ^- s8 a
PLL0_PLLCTL &= ~(0x00000010);
/*PLL stabilisation time- take out this step , not required here when PLL in bypassmode*/. ]/ _4 l1 r. L5 Z) |. u# O" K
for(i=0; i<PLL_STABILIZATION_TIME; i++) {;}
/*Program the required multiplier value in PLLM*/
5 f- Q0 K6 ^. N0 y1 }: Z3 ?0 H# UPLL0_PLLM = PLLM;
/*If desired to scale all the SYSCLK frequencies of a given PLLC, program the POSTDIV ratio*/
1 H" w! v' i0 C+ oPLL0_POSTDIV = 0x8000 | POSTDIV;
/*Check for the GOSTAT bit in PLLSTAT to clear to 0 to indicate that no GO operation is currently in progress*/& k) Q$ I3 U0 w) Q, @# L
while(PLL0_PLLSTAT & 0x1==1){}
/*Program the RATIO field in PLLDIVx with the desired divide factors. In addition, make sure in this step you leave the PLLDIVx.DxEN bits set so clocks are still enabled (default).*/
4 I% Y" R, n! `8 d- Q  X- DPLL0_PLLDIV1 = 0x8000 | PLLDIV1; // Fixed Ratio /1* r- ~$ s9 O) j. o* [
PLL0_PLLDIV2 = 0x8000 | PLLDIV2; // Fixed Ratio /22 l) L  f+ x1 c7 C- y0 H# \
PLL0_PLLDIV4 = 0x8000 | (((PLLDIV1+1)*4)-1); // Fixed Ratio /4! c) I; Z9 H% R0 Y8 |% H
PLL0_PLLDIV6 = 0x8000 | PLLDIV1; // Fixed Ratio /16 X5 K2 {) o# {# R9 P! \' d8 X' ^
PLL0_PLLDIV3 = 0x8000 | PLLDIV3; // Variable Ratio (EMIF)
. l+ E- b$ O1 Q( t6 RPLL0_PLLDIV7 = 0x8000 | PLLDIV7; // Variable Ratio (RMII)

  G8 n: {5 w2 D( k* U/*Set the GOSET bit in PLLCMD to 1 to initiate a new divider transition.*/
( J$ f3 N9 h/ d, {0 E2 f! r9 MPLL0_PLLCMD |= 0x1;
/*Wait for the GOSTAT bit in PLLSTAT to clear to 0 (completion of phase alignment).*/7 ?7 S7 [! _8 b1 H
while(PLL0_PLLSTAT & 0x1==1) { }
/*Wait for PLL to reset properly.*/
+ l. k$ r, D) ]* m1 Rfor(i=0; i<PLL_RESET_TIME_CNT; i++) {;}
/*Set the PLLRST bit in PLLCTL to 1 to bring the PLL out of reset*/# [  y2 L( ?* g% _1 H
PLL0_PLLCTL |= 0x8;
/*Wait for PLL to lock.*/1 X8 x! N# f. ~* P2 |# ?( h
for(i=0; i<PLL_LOCK_TIME_CNT; i++) {;}
/*Set the PLLEN bit in PLLCTL to 1 to remove the PLL from bypass mode*/
: P8 E. X# h( A& k  i# f5 ?5 APLL0_PLLCTL |= 0x1;
% ?% {  t8 L8 ?" M" m}
/**********************************************************************************
$ \' q% \& r. A) \9 HDDR PLL1 init:
***********************************************************************************/! Q" P+ d9 D+ s1 R5 q8 t
device_PLL1(unsigned int PLLM,unsigned int POSTDIV,unsigned int PLLDIV1, unsigned int PLLDIV2, unsigned int PLLDIV3 ) {
unsigned int i=0;
/* Clear PLL lock bit */
9 K* ~# I5 r2 O5 J$ qCFGCHIP3 &= ~(0x00000020);
/* Set PLLENSRC '0',bit 5, PLL Enable(PLLEN) selection is controlled through MMR */
! J- ]1 y% B- B6 s% T, KPLL1_PLLCTL &= ~(0x00000020);
/* PLLCTL.EXTCLKSRC bit 9 should be left at 0 for Freon */
$ U- l, q9 U, J3 @' l6 HPLL1_PLLCTL &= ~(0x00000200);
/* Set PLLEN=0 to put in bypass mode*/4 u' _6 I; O& B2 i0 Z
PLL1_PLLCTL &= ~(0x00000001);
/*wait for 4 cycles to allow PLLEN mux switches properly to bypass clock*/
2 i3 J/ B# m& M7 @: Qfor(i=0; i<PLLEN_MUX_SWITCH; i++) {;}
/*Clear PLLRST bit to reset the PLL */
+ k. f1 |+ d3 ~$ {  u) b  xPLL1_PLLCTL &= ~(0x00000008);
/* Disable the PLL output*/
/ @% D+ `* A4 r. ?3 m+ K* i3 dPLL1_PLLCTL |= (0x00000010);
/* PLL initialization sequence
0 y4 _; t$ v" W! HPower up the PLL by setting PWRDN bit set to 0 */
, a: T4 ~; a3 W7 D2 BPLL1_PLLCTL &= ~(0x00000002);
/* Enable the PLL output*/1 A& \- c2 J# k) n" W$ F
PLL1_PLLCTL &= ~(0x00000010);
/*PLL stabilisation time- take out this step , not required here when PLL in bypassmode*/% X* q# B* e# X7 E, I% a
for(i=0; i<PLL_STABILIZATION_TIME; i++) {;}
/*Program the required multiplier value in PLLM*/
3 A! c, @* U/ `/ s. p- o, LPLL1_PLLM = PLLM;
/*If desired to scale all the SYSCLK frequencies of a given PLLC, program the POSTDIV ratio*/
+ A: U6 K1 h. H7 E( EPLL1_POSTDIV = 0x8000 | POSTDIV;
/*Check for the GOSTAT bit in PLLSTAT to clear to 0 to indicate that no GO operation is currently in progress*/+ ~$ `3 I7 R: K/ B4 `
while(PLL1_PLLSTAT & 0x1==1){}
/*Program the RATIO field in PLLDIVx with the desired divide factors. In addition, make sure in this step you leave the PLLDIVx.DxEN bits set so clocks are still enabled (default).*/
8 u7 k2 l* C* V" O" n. sPLL1_PLLDIV1 = 0x8000 | PLLDIV1; // DDR frequency (aka 2X_CLK)
; d( E, Q/ \" {4 B- s* J7 NPLL1_PLLDIV2 = 0x8000 | PLLDIV2; // Optional CFGCHIP3[ASYNC3_CLKSRC] clock source( T/ j, b8 q) u% r
PLL1_PLLDIV3 = 0x8000 | PLLDIV3; // Optional PLL0 clock source
/*Set the GOSET bit in PLLCMD to 1 to initiate a new divider transition.*/
) r$ N, |3 [+ l6 b* j- _- f3 c* kPLL1_PLLCMD |= 0x1;
/*Wait for the GOSTAT bit in PLLSTAT to clear to 0 (completion of phase alignment).*/
0 }" X% k' w4 iwhile(PLL1_PLLSTAT & 0x1==1) { }
/*Wait for PLL to reset properly */. m4 k- ^& Z1 h& V
for(i=0; i<PLL_RESET_TIME_CNT; i++) {;}
/*Set the PLLRST bit in PLLCTL to 1 to bring the PLL out of reset*/
! L$ w3 r* P/ F9 \- o+ M' ]PLL1_PLLCTL |= 0x8;
/*Wait for PLL to lock. See PLL spec for PLL lock time*/
, o8 Z0 Y3 I& B! W; t  X6 sfor(i=0; i<PLL_LOCK_TIME_CNT; i++) {;}
/*Set the PLLEN bit in PLLCTL to 1 to remove the PLL from bypass mode*/
  \8 l( {. l" U) zPLL1_PLLCTL |= 0x1;2 F2 ~) }6 r9 ~0 `6 V* [0 @+ O+ K. D5 E( h
}
/**********************************************************************************8 K  r  f1 D$ R; R8 Z
PSC Common functions :
***********************************************************************************/+ m1 q2 U  P/ t! S) V  `
/*Force module state without handshaking */% q0 L; o' A: m7 I+ A
PSC1_LPSC_force(unsigned int LPSC_num) {2 l# r* g" k& I
*(unsigned int*) (PSC1_MDCTL+4*LPSC_num) = (*(unsigned int*) (PSC1_MDCTL+4*LPSC_num) | 0x80000000);7 t' M; Q0 z+ d9 E
}
/*SyncReset Function for PSC1*/3 j9 E4 M0 G: y) j3 t  Y! |' P
PSC1_LPSC_SyncReset(unsigned int PD, unsigned int LPSC_num) {+ }, W0 t/ ?- C/ [2 r
unsigned int j;
if( (*(unsigned int*)(PSC1_MDSTAT+4 * LPSC_num) & 0x1F) != 0x1 ) {
0 w2 I3 x9 z3 n*(unsigned int*) (PSC1_MDCTL+4*LPSC_num) = (*(unsigned int*) (PSC1_MDCTL+4*LPSC_num) & 0xFFFFFFE0) | 0x0001;
1 R$ _. J( \6 X* gPSC1_PTCMD = 0x1<<PD;
j = 0;
' K# d+ w% ]% h$ b! B, L4 a/*Wait for power state transition to finish*/
1 p9 g8 k- j  A. b) m+ zwhile( (PSC1_PTSTAT & (0x1<<PD) ) !=0) {& x0 O& i2 }1 X, o+ X- R$ O  g
if( j++ > PSC_TIMEOUT ) {; o. Y. h7 {( s" W, Y
GEL_TextOut("\tPSC1 Sync Reset Transition Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);
1 |' l* h1 Y, U. tbreak;+ Z4 T- x% F* I1 N6 D, x% |
}4 d" h( |  _+ o& |
}
j = 0;/ O! {0 ?! j5 s% V: R6 [9 A
while( (*(unsigned int*)(PSC1_MDSTAT+4 * LPSC_num) & 0x1F) !=0x1) {+ I) C4 V4 F* V4 o/ k
if( j++ > PSC_TIMEOUT ) {
3 q% S8 l6 Z- U' NGEL_TextOut("\tPSC1 Sync Reset Verify Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);9 U7 ]. a- c: Z
break;/ }& M8 ~+ ?: h$ x# F
}
& [2 |  f9 d4 `8 X. Q}
4 R* v! J* i2 q( H1 M}+ z4 |- t+ A5 {1 T
}
/*Enable Function for PSC1*/; d7 O5 a4 a2 H% F' A# W3 M
PSC1_LPSC_enable(unsigned int PD, unsigned int LPSC_num) {
1 N, _  [, {% P2 C5 iunsigned int j;
% D  w, v3 U  u5 q0 u' {* E' |+ L
- }$ D" \, O# N# b( S8 P- M: sif( (*(unsigned int*)(PSC1_MDSTAT+4 * LPSC_num) & 0x1F) != 0x3 ) {
5 c1 U9 _$ c. L*(unsigned int*) (PSC1_MDCTL+4*LPSC_num) = (*(unsigned int*) (PSC1_MDCTL+4*LPSC_num) & 0xFFFFFFE0) | 0x0003;( K& E2 y% g: U) L/ e5 w0 ?
PSC1_PTCMD = 0x1<<PD;
j = 0;; o  u2 T6 n  |' j- H
/*Wait for power state transition to finish*/) n% S3 s' Y( r
while( (PSC1_PTSTAT & (0x1<<PD) ) !=0) {
- j- J4 Y! m9 g8 I2 jif( j++ > PSC_TIMEOUT ) {0 @! x: F1 y4 F( |1 w. }% r
GEL_TextOut("\tPSC1 Enable Transition Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);
2 \  z. I  }; @break;
2 j: i. o4 o7 |3 Y2 Q}: s; v0 N/ K  F# w! @: {
}
j = 0;6 M) v0 B( n2 w; t+ H
while( (*(unsigned int*)(PSC1_MDSTAT+4 * LPSC_num) & 0x1F) !=0x3) {
/ D2 Y8 f. T4 k# `7 A+ `+ S3 T: nif( j++ > PSC_TIMEOUT ) {. j* B$ k+ j% I6 D0 Y5 B
GEL_TextOut("\tPSC1 Enable Verify Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);$ ~* q1 K, x( {; N4 p6 \
break;
0 N9 }4 x$ R' ~2 g: x}) b: {$ ]: V# P* Z
}, E9 K( N2 x" x+ Y
}; t% J0 r+ K1 e
}
/*LPSC Enable Function for ARM or DSP*/+ P6 y. P* ?5 R0 ^% h1 D
PSC0_LPSC_enableCore(unsigned int PD, unsigned int LPSC_num) {
; q$ m" V. x7 d2 W3 funsigned int j;
5 B9 D+ F; r; D4 b  @! `3 m  x" L) P4 a
if( (*(unsigned int*)(PSC0_MDSTAT+4 * LPSC_num) & 0x11F) != 0x103 ) {
) c* `4 F. P" ]* u" y# T*(unsigned int*) (PSC0_MDCTL+4*LPSC_num) = (*(unsigned int*) (PSC0_MDCTL+4*LPSC_num) & 0xFFFFFEE0) | 0x0103;  s4 M3 G3 M' e7 j: N8 K$ R  J; }
PSC0_PTCMD = 0x1<<PD;
j = 0;
+ s" y$ m4 F! Y+ T* w8 G/*Wait for power state transition to finish*/
6 s& n- L! Z' h+ n. y* i$ _while( (PSC0_PTSTAT & (0x1<<PD) ) !=0) {
- W5 ^6 Y# _1 g. |if( j++ > PSC_TIMEOUT ) {
  W5 R* v9 g# |" O% L$ @GEL_TextOut("\tPSC0 Enable Core Transition Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);4 t4 B8 s. E% B3 f  i( S  @
break;
) Y. B$ @% D/ K) l( X1 E9 V}5 l2 n+ O5 k. c. ]1 K* u. `1 z
}
+ ~( K, ~3 w$ c7 J0 V/ e! |8 d/ j; C
j = 0;3 ?( Y5 D' ]; ^
while( (*(unsigned int*)(PSC0_MDSTAT+4 * LPSC_num) & 0x11F) !=0x103) {- L5 E- ]- S9 `- I. O
if( j++ > PSC_TIMEOUT ) {
( O) V8 F% S! Z3 {5 BGEL_TextOut("\tPSC0 Enable Core Verify Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);$ _! X& A! r& n$ Q- [7 B
break;
/ q- Y& e, ?  n2 I9 f6 Q8 R: E& A}% ^- E8 P: @8 ~6 ~  \4 F
}
) i4 L  U/ }! k}
3 L) a* l  }; }( t5 h* e) c}
/*SyncReset Function for PSC0*/0 ]8 L8 t" _  e+ t$ v& P
PSC0_LPSC_SyncReset(unsigned int PD, unsigned int LPSC_num) {  K- o  P* O) [7 l: Q0 w- @
unsigned int j;, [6 W5 M# s; h7 ?; {8 P

. v3 N, E/ m5 t  F2 ?# F/ ?5 L6 Iif( (*(unsigned int*)(PSC0_MDSTAT+4 * LPSC_num) & 0x1F) != 0x1 ) {
/ u7 \. X5 O) o7 H*(unsigned int*) (PSC0_MDCTL+4*LPSC_num) = (*(unsigned int*) (PSC0_MDCTL+4*LPSC_num) & 0xFFFFFFE0) | 0x0001;
# }. q6 w7 Q' UPSC0_PTCMD = 0x1<<PD;
j = 0;
0 p! T- Y1 [4 X+ y  R4 X/*Wait for power state transition to finish*/8 r7 d# P+ f* W& y) b% q" H# S4 A& g. w
while( (PSC0_PTSTAT & (0x1<<PD) ) !=0) {
5 [$ K: R( ]9 K+ S: b, _$ _6 lif( j++ > PSC_TIMEOUT ) {
$ b: o3 A% G/ n: o9 Y7 e8 z& |! ?4 }GEL_TextOut("\tPSC0 Sync Reset Transition Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);- I: l5 a+ b! n! Z7 O9 q, `7 ^0 o0 t
break;
: v0 Z0 F: |1 @' ?3 e; o  f}9 B/ Y# ~* h$ w, m
}
j = 0;
3 Y( m. b; c# uwhile( (*(unsigned int*)(PSC0_MDSTAT+4 * LPSC_num) & 0x1F) !=0x1) {8 l$ M6 n8 Q8 W
if( j++ > PSC_TIMEOUT ) {/ G0 P4 y" \9 O  m* r( W
GEL_TextOut("\tPSC0 Sync Reset Verify Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);5 b4 z( T, q( `, j
break;
& O) l1 m3 X, }8 H' F}% D7 h0 H1 W4 W; ?
}/ v+ m$ M! `2 {1 _
}! Q) E6 l. Q* l
}
/*Enable Function for PSC0*/1 V6 f( {0 x: a7 d3 M
PSC0_LPSC_enable(unsigned int PD, unsigned int LPSC_num) {
( c- \; t& M. e% U9 eunsigned int j;
if( (*(unsigned int*)(PSC0_MDSTAT+4 * LPSC_num) & 0x1F) != 0x3 ) {6 e# J2 g4 D3 J% t
*(unsigned int*) (PSC0_MDCTL+4*LPSC_num) = (*(unsigned int*) (PSC0_MDCTL+4*LPSC_num) & 0xFFFFFFE0) | 0x0003;2 d( e0 m6 M3 m
PSC0_PTCMD = 0x1<<PD;
j = 0;
' S- q' P5 a7 j2 `$ O, G/ v; I! g  P/*Wait for power state transition to finish*/
2 c, _; k. n0 B/ Vwhile( (PSC0_PTSTAT & (0x1<<PD) ) !=0) {
, h: V0 |* ^! U, k2 O8 g& u: Aif( j++ > PSC_TIMEOUT ) {" P, V. m$ g0 U7 n2 V9 ]# @
GEL_TextOut("\tPSC0 Enable Transition Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);
& I9 N& |3 y; D& @* ^9 J8 P) ibreak;
4 f6 U, [" B. r}- ?- Y5 ^9 o- a2 m: e5 ~0 w
}
j = 0;
' k& N/ Y6 ]8 g3 [( O  ~while( (*(unsigned int*)(PSC0_MDSTAT+4 * LPSC_num) & 0x1F) !=0x3) {
$ K4 E* q7 z) R9 J* \if( j++ > PSC_TIMEOUT ) {0 P- W" ^* w' J
GEL_TextOut("\tPSC0 Enable Verify Timeout on Domain %d, LPSC %d\n","Output",1,1,1,PD,LPSC_num);. X# ]" F/ `3 X  W- p! m- E8 T
break;
; B2 C# i) h$ J  R}
& \* I8 R' W& R# b* @* p}
' c) G/ S7 H5 {6 ?1 _* A  R}* }3 H) Y0 ]' x6 X
}

6 P  P& F  _. A5 p% T$ j; B/**********************************************************************************
! {/ |2 x3 A1 t1 \0 L. ^DDR Configuration routine:- O. w; P. x  m& R
1. DDR Enable
1 \5 F( r6 o$ K* V2. VTP calibration
: J, g+ @% l% r; }3. Configure DDR7 O1 A' i# W" @7 s( G# `
4. Set to self-refresh, enable mclkstop and DDR Sync Reset
' F2 p  j+ k5 F" \$ z( L4 G, b5. Enable DDR and disable self-refresh
int freq is MHz
DDR2 = 0
  M8 _: z3 U  ^# LMDDR = 1
A DDR configuration spreadsheet tool is located here:
3 Z5 K$ ^/ U9 U: u! whttp://processors.wiki.ti.com/in ... F_on_OMAP-L1x/C674x
***********************************************************************************/! j" I) T6 `: d$ Y0 V
// 0xB000 0008 SDCR 0x00134632
8 }. f' [! a! E8 w5 K6 I3 G  N% E// 0xB000 000C SDRCR 0xC00002601 \* G4 ^9 P: Y  e+ c1 J2 d1 O
// 0xB000 0010 SDTIMR1 0x264A2A09$ ?1 D2 H( K8 w! b& z. G
// 0xB000 0014 SDTIMR2 0x4412C7229 ?/ R6 n. i3 l+ `
// 0xB000 001C SDCR2 0x00000000  |% Z* l) _4 }/ r1 V/ F. F# I
// 0xB000 00E4 DRPYC1R 0x000000C3
# m1 o2 M& E: y' \/ u, F1 Z' P- I3 j" HDEVICE_DDRConfig()  n% H% }* D4 }: q* q4 m, L
{
, s. C. D: Z) {8 M- wunsigned int j;
) a0 _* C/ X- b7 Nunsigned int tmp_SDCR;
$ d3 c8 X* ?7 s% m6 x1 R& r& `
3 I, A6 h3 P9 s. o+ d7 O// Enable the Clock to EMIFDDR SDRAM$ X4 H# G9 g. x! H" U
PSC1_LPSC_enable(PD0, LPSC_DDR);
// Begin VTP Calibration
3 H3 S! s8 \3 b% |6 LVTPIO_CTL &= ~0x00000040; // Clear POWERDN5 n3 x2 q$ `! M. d! M8 @  g
VTPIO_CTL &= ~0x00000080; // Clear LOCK: X+ j# }8 z$ A* @% \; O3 ~$ s( a
VTPIO_CTL |= 0x00002000; // Set CLKRZ in case it was cleared before (VTP looks for CLKRZ edge transition)2 M1 g$ i4 t8 V7 e, N
VTPIO_CTL &= ~0x00002000; // Clear CLKRZ (Use read-modify-write to ensure 1 VTP cycle wait for previous instruction)- g+ X3 l* B* x% C$ C0 l9 _7 w
VTPIO_CTL |= 0x00002000; // Set CLKRZ (Use read-modify-write to ensure 1 VTP cycle wait for previous instruction)
j = 0;: t4 Z- E0 Y: E2 S1 R! P
// Polling READY bit to see when VTP calibration is done
. b9 N4 }6 j6 Qwhile((VTPIO_CTL & 0x00008000) == 0) {6 C  g3 R  G% _( P* h( C/ K
if( j++ > VTP_TIMEOUT ) {& H! |' \1 L7 [* J6 L; V1 N
GEL_TextOut("\tVTP Ready timeout\n","Output",1,1,1); # s0 O3 R7 T) K! b' r
break;
. B1 q- U; L! D% o}" n2 Y  o. V" i, S1 D/ \) i
}
VTPIO_CTL |= 0x00000080; // Set LOCK bit for static calibration mode
" g7 S& e. h) Q$ ?3 i  V4 n$ qVTPIO_CTL |= 0x00000040; // Set POWERDN bit to power down VTP module/ k% S, ?4 Z. T5 G/ Y$ N6 l
// End VTP Calibration
VTPIO_CTL |= 0x00004000; // Set IOPWRDN to allow powerdown of input receivers when PWRDNEN is set
// **********************************************************************************************
0 n# m* z0 M0 N2 c  o// Setting based 1Gb DDR2 Samsung K4T1G164QF-BCF8- ?0 x; b1 w5 ]0 A3 t; w! Q# F) D
// Config DDR timings
- x$ c  B( t7 ~/ ~; x) J" p# HDRPYC1R = (0x0 << 8) | // Reserved. s$ n# J. F9 g+ \9 S7 [( r
(0x1 << 7) | // EXT_STRBEN
9 B" @' A) ^1 @6 W. |(0x1 << 6) | // PWRDNEN
" F2 K; n$ s( l7 Q: E0 _(0x0 << 3) | // Reserved" I) A2 t* n, Q% d5 C& v
(0x3 << 0); // RL
5 _' ~- n; n; |7 Q, r8 X0 E// DRPYC1R Value = 0x000000C3
if( DDR_DEBUG ) {9 k) W( [: r6 ~% r4 M1 m
// Configure EMIF with max timings for more slack
0 }( t3 z' g% @9 [3 [1 i0 q+ ~. V8 K// Try this if memory is not stable
) D& x7 \. t# ^1 |9 Q, RDRPYC1R |= 0x7; // RL" A, G7 J9 q4 n/ l& N) w7 O. a0 }3 i. s
}
EMIFDDR_SDCR |= 0x00800000; // Set BOOTUNLOCK
// Settings depending on DDR2
4 f! Q3 v& Z5 V" a5 b+ vtmp_SDCR = (0x0 << 25) | // MSDRAMEN% U3 p$ {8 X! n# X( X1 I& V
(0x1 << 20); // DDR2EN
$ M8 G0 O9 O1 _+ M" jGEL_TextOut("\tUsing DDR2 settings\n","Output",1,1,1);
EMIFDDR_SDCR = tmp_SDCR | // Settings that change depending on DDR2 or MDDR0 t7 u" p2 R8 Q( D4 }$ s. K
(EMIFDDR_SDCR & 0xF0000000) | // Reserved& e. p1 W' s! V! W" w4 K( i
(0x0 << 27) | // DDR2TERM1. Y3 I2 Z( j' o; A2 ~
(0x0 << 26) | // IBANK_POS3 ^* c. Y0 L8 _% A- B  o+ o
(0x0 << 24) | // DDRDRIVE13 p: Z: m/ L; t1 y2 w
(0x0 << 23) | // BOOTUNLOCK
$ s5 ]. {. Q3 @% P(0x0 << 22) | // DDR2DDQS
7 c( d) e' I4 A! [9 z(0x0 << 21) | // DDR2TERM0
, j* O' [: Z/ s* T(0x0 << 19) | // DDRDLL_DIS9 p1 U5 B. j) [9 M( p9 q5 ?- d
(0x0 << 18) | // DDRDRIVE00 O5 Y8 n% T" T$ }- A: u
(0x1 << 17) | // DDREN) z# p! ^* C# ~/ d* }, E
(0x1 << 16) | // SDRAMEN8 K, x  r9 V. |
(0x1 << 15) | // TIMUNLOCK
3 v5 ?. u+ y; k* T(0x1 << 14) | // NM% ]" ]( e7 [6 i
(0x0 << 12) | // Reserved! R3 N4 E2 s) m5 y
(0x3 << 9) | // CL
; ?  J1 @/ Y4 g(0x0 << 7) | // Reserved3 V" t  g) N4 `' V+ K2 _. v
(0x3 << 4) | // IBANK
3 o; I% E/ F1 U7 x: L(0x0 << 3) | // Reserved5 [$ f5 F9 z# x! ?$ L3 |4 p
(0x2 << 0); // PAGESIZE8 b# k& ?* j$ r) t' j# v
2 o5 f( w; K" |" _
EMIFDDR_SDCR2 = 0x00000000; // IBANK_POS set to 0 so this register does not apply
if( DDR_DEBUG ) {5 p5 E# r/ [2 T# w
// Configure EMIF with max timings for more slack, C* \% ]+ \$ t8 ]1 \# v- ]/ I
// Try this if memory is not stable
EMIFDDR_SDTIMR1 = (0x7F << 25) | // tRFC
1 Y4 T0 n1 L% R4 X# f% N5 A(0x07 << 22) | // tRP/ H/ j* {6 O4 ]; R3 p/ y
(0x07 << 19) | // tRCD
. ^7 S8 t& ~8 y1 x* s(0x07 << 16) | // tWR
7 y" ^- z; U( ?(0x1F << 11) | // tRAS! `5 L! D0 R) {# a  a" E
(0x1F << 6) | // tRC
) t+ D( h; c/ g(0x07 << 3) | // tRRD5 ^; B9 a. v  I3 W( E& w
(EMIFDDR_SDTIMR1 & 0x4) | // Reserved" {7 ~: W8 O8 O2 y. s
(0x03 << 0); // tWTR
$ s- z* Z9 v0 p* {. i7 @3 m  u! a: {3 ?7 Y! j# d5 m
EMIFDDR_SDTIMR2 = (EMIFDDR_SDTIMR2 & 0x80000000) | // Reserved2 S1 P$ k( s  P2 d$ l
(((unsigned int) ((70000 / 3400) - 0.5)) << 27) | // tRASMAX (original 7812.5)
6 C( w; {4 C$ b) d) O(0x3 << 25) | // tXP! i5 t& w% L9 ]6 @) b
(0x0 << 23) | // tODT (Not supported)
: D% i: [4 v0 D) t  `0 a(0x7F << 16) | // tXSNR
/ m5 ]5 s! }+ u! `$ p/ r( B(0xFF << 8) | // tXSRD  l2 H: x& P5 Y9 h. X! y4 K" O
(0x07 << 5) | // tRTP (1 Cycle)8 `3 L- v- X+ M
(0x1F << 0); // tCKE
GEL_TextOut("\tDDR Timings Configured for Debug\n","Output",1,1,1);$ L: ?( P( E' c9 Z  _
}
8 i# q7 g* u; Eelse {, F) u) T+ ^7 l% X
// Let float -> integer truncate handle minus 1; Safer to round up for timings+ {, |; R" V! \8 |/ x
EMIFDDR_SDTIMR1 = (19 << 25) | // tRFC
+ m' x% `5 S8 X( v(1 << 22) | // tRP8 H. _2 Z- t) I: ~$ U# V
(1 << 19) | // tRCD1 r9 ^( \8 P" j0 E( C! {
(2 << 16) | // tWR
) a+ w3 k: h( U/ K5 C(5 << 11) | // tRAS
, j8 X" V) h9 v  l' Z. a5 K$ E(8 << 6) | // tRC9 d+ q0 [7 R1 K4 A
(1 << 3) | // tRRD
. J& U. e8 q* f  L/ b1 F: H(0 << 2) | // Reserved
' O+ i. I5 a, \+ o" n+ T(1 << 0); // tWTR
, l* I6 G5 \+ L: b4 V. C
9 |6 w* n( o# }/ i$ W# QEMIFDDR_SDTIMR2 = (EMIFDDR_SDTIMR2 & 0x80000000) | // Reserved
( M8 x8 H2 c5 _8 `8 {(8 << 27) | // tRASMAX
- l* Y" A9 _+ g* ?) R(2 << 25) | // tXP
( I6 Z/ B) w% z(0 << 23) | // tODT (Not supported)
+ z$ A2 \. e  u(18 << 16) | // tXSNR (tXSR for mDDR)8 _6 Z3 z0 l! o) E( c# c* @
(199 << 8) | // tXSRD (tXSR for mDDR)% S; k$ |+ m. y3 M" f% H
(1 << 5) | // tRTP
3 Y& |- X) q* L9 E& A. U( J(2 << 0); // tCKE. g5 A# V4 Z; {! C; H$ A+ x: I, V. `
}4 b9 }- c+ @" \
; P  X' a0 j4 k4 P' g0 O+ j
EMIFDDR_SDCR &= ~0x00008000; // Clear TIMUNLOCK
// Let float -> integer truncate handle RR round-down; Safer to round down for refresh rate
4 n7 j& y8 p( }+ k8 S% _EMIFDDR_SDRCR = (0x1 << 31) | // LPMODEN (Required for LPSC SyncReset/Enable)
2 j  C* W" G2 }" o! y6 e(0x1 << 30) | // MCLKSTOPEN (Required for LPSC SyncReset/Enable)3 B  ^% G. I& Z; W8 g! D& z
(0x0 << 24) | // Reserved
+ U9 X# T. a8 h(0x0 << 23) | // SR_PD$ J1 m4 ?# V; X$ X  U& {
(0x0 << 16) | // Reserved* o- P. Y4 g& p9 \: V
(0x260 << 0); // RR
// SyncReset the Clock to EMIFDDR SDRAM" @1 W4 H% W& L! L
PSC1_LPSC_SyncReset(PD0, LPSC_DDR);
// Enable the Clock to EMIFDDR SDRAM
0 ~" N1 T2 Y6 x$ y8 j4 X  qPSC1_LPSC_enable(PD0, LPSC_DDR);
// Disable self-refresh
) [0 Y6 [2 J) C$ rEMIFDDR_SDRCR &= ~0xC0000000;+ }; r" f) m8 x2 P; u+ _1 N' P
0 o% j. N' u) r0 l! ~
EMIFDDR_PBBPR = 0x10; 5 ?( V6 i0 J+ P3 y1 h. s3 S0 H
}
// Input clock to device in MHz
; ^( |. k2 a" \5 _* N8 T8 s: W1 `( [#define OSCIN_FREQ 24
#define ARM_ROM_ID *(unsigned int*) 0xFFFD000c& J, V4 R# M% ]: \
#define DSP_ROM_ID *(unsigned int*) 0x1170000c
#define ARM_BLCfgStruct *(unsigned int*)0xFFFF0700. K" h* T( r) X
#define DSP_BLCfgStruct *(unsigned int*)0x11F00700
#define SYS_BASE 0x01C14000' [, m' T% c( O" i4 g( E
#define DEV_INFO_24 *(unsigned int*)(SYS_BASE + 0x008)
# S3 K( A5 B0 _2 r$ F#define DEV_INFO_25 *(unsigned int*)(SYS_BASE + 0x00C)
. ~8 |% _: U4 @#define DEV_INFO_06 *(unsigned int*)(SYS_BASE + 0x010)2 p, N! _. x: H" I
#define DEV_INFO_26 *(unsigned int*)(SYS_BASE + 0x014)5 \& V$ Z9 l7 b+ l  E
#define DEV_INFO_00 *(unsigned int*)(SYS_BASE + 0x018)7 l  n. _: U4 r* G( P
#define DEV_INFO_01 *(unsigned int*)(SYS_BASE + 0x01C)6 E) F  j+ d- D2 V# f3 t' ~9 s
#define DEV_INFO_02 *(unsigned int*)(SYS_BASE + 0x020)4 d) A3 j2 Y( k! V# v* d
#define DEV_INFO_03 *(unsigned int*)(SYS_BASE + 0x024)& G- ]) o: z% L7 K3 M& Y9 S
#define DEV_INFO_04 *(unsigned int*)(SYS_BASE + 0x028)1 ^# e  v5 c. A' o5 u- M) B
#define DEV_INFO_05 *(unsigned int*)(SYS_BASE + 0x02C)$ s0 K( T- x9 q+ w* O1 |
#define DEV_INFO_11 ((DEV_INFO_24>>0) & 0xFFF)) U8 N+ X. t4 A! {2 Y
#define DEV_INFO_12 ((DEV_INFO_24>>12) & 0xFFF)
" P  g$ J2 s" F7 Q: c1 K#define DEV_INFO_10 ((DEV_INFO_24>>24) & 0x3F)
0 x$ x1 k- W3 w8 V3 W& Q#define DEV_INFO_09 ((DEV_INFO_25>>0) & 0xFFFFFF)5 a) b2 n- n! Y% }5 e9 ^$ [
#define DEV_INFO_07 ((DEV_INFO_25>>24) & 0x1F)0 k. s4 I  W5 i% m3 q2 G% N2 B$ F
#define DEV_INFO_08 ((DEV_INFO_25>>29) & 0x7); U. W4 p% r6 Y# `' u9 |
#define DEV_INFO_13 ((DEV_INFO_26>>0) & 0x1F)
% H% b  C5 @4 ~- }#define DEV_INFO_14 ((DEV_INFO_26>>5) & 0x1)2 b! o- _2 ^1 v1 [: e9 Z
#define DEV_INFO_15 ((DEV_INFO_26>>6) & 0x7FF)
/ P  G% ^! `: t3 P6 V#define DEV_INFO_16 ((DEV_INFO_26>>17) & 0x3FFF)
& h( `6 h1 F6 {+ h; s7 t* b- `#define KEY_BASE 0x01C12000, }0 Z+ Q' U! ]  Y, A9 f
#define DEV_INFO_17 *(unsigned int*)(KEY_BASE + 0x004)
9 I: n3 W9 X9 M' T5 N* ~#define DEV_INFO_18 *(unsigned int*)(KEY_BASE + 0x008)
) ~, g: d& {: h#define DEV_INFO_19 ((DEV_INFO_17>>8) & 0x1F)9 K) y( k/ j3 T7 V/ C- [
#define DEV_INFO_20 *(unsigned int*)(0x11700008)+ }8 ~8 k, ]# O9 U
#define DEV_INFO_21 *(unsigned int*)(0x1170000C)
+ K+ L" n9 Y' {& H- }- O#define DEV_INFO_22 *(unsigned int*)(0xFFFD0008)
2 j! C7 H# z) ?#define DEV_INFO_23 *(unsigned int*)(0xFFFD000C)
3 u1 u9 @. h7 _$ L: g- v1 ?#define BOOTCFG *(unsigned int*)(SYS_BASE + 0x020) //BOOTCFG
#define PLLC0_BASE_ADDRESS 0x01C110008 y: I# [" D2 F) T& q2 K. T
#define PLLC1_BASE_ADDRESS 0x01E1A000
+ @, h1 S9 f: Q2 F4 D; ~#define PLLCTL_OFFSET 0x1004 Y5 O/ z' v7 Z, _7 l# f% C
#define OCSEL_OFFSET 0x104
# z' R# \- p" l; Y$ P" b6 [9 q$ X#define PLLM_OFFSET 0x110
: @* t$ z1 T( l+ l) I/ R#define PREDIV_OFFSET 0x114
. m  m- W, e6 {7 i  U#define PLLDIV1_OFFSET 0x118- P9 m9 a* i, [  ^
#define PLLDIV2_OFFSET 0x11C5 L9 J$ k7 I/ M
#define PLLDIV3_OFFSET 0x120' \+ Y; X$ \. T" L2 H
#define OSCDIV_OFFSET 0x124
; `9 D7 w  ?9 K8 f$ b9 N" o#define POSTDIV_OFFSET 0x128
) g- e' `' R3 @- G4 L9 `#define PLLDIV4_OFFSET 0x160' e: _; |/ z8 @4 l* N
#define PLLDIV5_OFFSET 0x164' `8 \+ a& T% b& B  Z! A( t' v% Z
#define PLLDIV6_OFFSET 0x168$ n& O8 z9 O( U/ ?# I1 s
#define PLLDIV7_OFFSET 0x16C
#define CFGCHIP3 *(unsigned int*)(0x01C14188)
menuitem "Diagnostics" $ O4 s! w1 N8 e
hotmenu Run_All()4 u7 @5 X# K! H; a3 Q, ?' n
{# X" b, D. X" k( I$ g; d
GEL_MapOff( );
1 q$ g2 F: p3 d, Z, e( E& mPrint_Device_Info();
0 G& m/ k( w0 R, gPrint_ROM_Info();% i5 T+ I, [: w
Print_PLL_Configuration();
: d3 G7 ?2 ^& w  g9 j' }Print_PSC_Status();1 ^, H7 O$ }& c2 V6 @* ~
}
+ C  V9 v0 H7 u9 m% K7 @1 \
menuitem "Diagnostics"
6 _6 `0 P- U& R! N7 ~hotmenu Print_ROM_Info()- w; o2 Q2 X# D% _  v0 i! K
{
! F  ~7 P, G+ g2 e* \" p& zint errorCode; : Q' x, V8 O1 ^- c# K
int boot_config;" F. i, }0 w0 p' w; }
int revision2, revision1, revision0; " l: g' k- h* Z( k. s( B+ j
int arm_dsp;2 v8 k1 A$ R1 H1 s/ t! i
int rom_id;& {, Z: R  l' m$ h
unsigned int BLCfgStruct;
GEL_TextOut("---------------------------------------------\n",,,,);$ }/ H: h6 n* G6 b! Y1 _2 j
GEL_TextOut("| BOOTROM Info |\n",,,,);  j: h* u% |& e( O: s! X
GEL_TextOut("---------------------------------------------\n",,,,);) B1 e0 O( F" l5 Q) L8 v% q

  g- c, v6 o! h! n# A6 ~% z  S# |" n; larm_dsp = ((ARM_ROM_ID & 0xFF) == 0x6B) ? 1 : 0;
rom_id = (arm_dsp) ? ARM_ROM_ID : DSP_ROM_ID;
revision0 = ((rom_id & 0xFF000000) >>24) - 48; ) ]5 Y5 ?( b% }7 j6 F/ Q% M
revision1 = ((rom_id & 0xFF0000) >>16) - 48; ; C) L" w/ i$ t5 w4 U; N
revision2 = ((rom_id & 0xFF00) >>8) - 48;
GEL_TextOut("ROM ID: d800k%d%d%d \n",,,,, revision2, revision1, revision0);
if(revision0 == 1) GEL_TextOut("Silicon Revision 1.0\n",,,,);
& |% M2 O+ ^$ M, }" M, ?& }  uelse if(revision0 == 2) GEL_TextOut("Silicon Revision 1.0\n",,,,);/ h! p; I1 [( Q4 h* q$ \+ H
else if(revision0 == 3) GEL_TextOut("Silicon Revision 2.0\n",,,,);
( y* u" Q" Y; p$ `6 w1 ~else if(revision0 == 4) GEL_TextOut("Silicon Revision 1.1\n",,,,);2 ~( c3 o1 S" R1 I
else if(revision0 == 5) GEL_TextOut("Silicon Revision 2.1\n",,,,);
1 j7 |3 h- ]6 _& u7 U) w0 X$ [+ Velse if(revision0 == 6) GEL_TextOut("Silicon Revision 2.0\n",,,,);
* w0 o6 ^# E( G, g+ Delse if(revision0 == 8) GEL_TextOut("Silicon Revision 2.1\n",,,,);" i& j/ N( }+ Z% e  [+ B6 }
else GEL_TextOut("Silicon Revision UNKNOWN\n",,,,);
7 V. P) A6 z3 z& Y0 e2 P
boot_config = BOOTCFG;* b: O3 A: w7 e( o
GEL_TextOut("Boot pins: %d\n",,,,, boot_config);
& [- ?6 ~, B& Z! r7 h0 B$ Mif((revision0 % 2) == 1) {% n  Z  v+ j# q. }
if((boot_config & 0x87) == 0x01) GEL_TextOut("Boot Mode: NOR (%x)\n",,,,,boot_config);
# H3 ^1 a# x9 i$ r/ selse if((boot_config & 0x87) == 0x02) GEL_TextOut("Boot Mode: HPI (%x)\n",,,,,boot_config);
( n8 M. x2 X2 n8 l7 @8 pelse if((boot_config & 0x87) == 0x05) GEL_TextOut("Boot Mode: SPI0 Flash (%x)\n",,,,,boot_config);
3 r3 M6 b1 L9 _- i# Felse if((boot_config & 0x87) == 0x06) GEL_TextOut("Boot Mode: SPI1 Flash (%x)\n",,,,,boot_config);
5 _; y! w, A- g- @$ Eelse if((boot_config & 0x87) == 0x07) GEL_TextOut("Boot Mode: NAND 8 (%x)\n",,,,,boot_config);8 ]) M+ Y6 d0 u& a* F
else if((boot_config & 0x8F) == 0x80) GEL_TextOut("Boot Mode: NAND 16 (%x)\n",,,,,boot_config);
4 h4 i8 h1 p- y: ]/ ~8 felse if((boot_config & 0x8F) == 0x00) GEL_TextOut("Boot Mode: I2C0 Master (%x)\n",,,,,boot_config);! L& a! z& X+ p, r3 z8 d
else if((boot_config & 0x8F) == 0x08) GEL_TextOut("Boot Mode: I2C0 Slave (%x)\n",,,,,boot_config);4 ]/ u+ b! L0 `
else if((boot_config & 0x8F) == 0x03) GEL_TextOut("Boot Mode: I2C1 Master (%x)\n",,,,,boot_config);6 v2 N) l( M/ j  R$ c' y
else if((boot_config & 0x8F) == 0x0B) GEL_TextOut("Boot Mode: I2C1 Slave (%x)\n",,,,,boot_config);  o. H$ I" M% |: `6 ~$ [. x9 E
else if((boot_config & 0x8F) == 0x04) GEL_TextOut("Boot Mode: SPI0 EEPROM (%x)\n",,,,,boot_config);
4 I; p: x# f7 Z5 f. T3 belse if((boot_config & 0x8F) == 0x0C) GEL_TextOut("Boot Mode: SPI1 EEPROM (%x)\n",,,,,boot_config);
7 m  A/ b6 @* ]) Eelse if((boot_config & 0x8F) == 0x81) GEL_TextOut("Boot Mode: SPI0 Slave (%x)\n",,,,,boot_config);
8 N. A/ @; v- ]! f% Melse if((boot_config & 0x8F) == 0x89) GEL_TextOut("Boot Mode: SPI1 Slave (%x)\n",,,,,boot_config);
2 _/ x% y% X6 [# Y1 felse if((boot_config & 0x8F) == 0x83) GEL_TextOut("Boot Mode: UART0 (%x)\n",,,,,boot_config);( [7 q# }3 C; t/ u) h: m
else if((boot_config & 0x8F) == 0x8B) GEL_TextOut("Boot Mode: UART1 (%x)\n",,,,,boot_config);3 U8 l1 g/ P2 E" k( p
else if((boot_config & 0x8F) == 0x82) GEL_TextOut("Boot Mode: UART2 (%x)\n",,,,,boot_config);$ ?  ^9 s1 r' ^7 |5 {
else if((boot_config & 0x8F) == 0x87) GEL_TextOut("Boot Mode: Emulation Debug (%x)\n",,,,,boot_config);7 y3 w8 ^, O1 {8 i; O; ~1 z
else GEL_TextOut("Boot Mode: INVALID (%x)\n",,,,,boot_config);/ ^% i; B/ t" C9 J: d
}; M+ F" f- V1 E8 m
else{+ F& w6 D8 c8 D( C' p: r$ k
if(boot_config == 0x02) GEL_TextOut("Boot Mode: NOR\n",,,,);, R/ l( w# M' g8 ~' l7 g3 J
else if(boot_config == 0x0E) GEL_TextOut("Boot Mode: NAND 8\n",,,,);3 R5 ]% d; p. b  H4 Z! w3 M# v, O
else if(boot_config == 0x10) GEL_TextOut("Boot Mode: NAND 16\n",,,,); 6 d! ^/ d2 {4 s# m! C& Z2 T6 {4 u
else if(boot_config == 0x00) GEL_TextOut("Boot Mode: I2C0 EEPROM\n",,,,);" K% X6 j# D" w  E- m$ C4 K
else if(boot_config == 0x06) GEL_TextOut("Boot Mode: I2C1 EEPROM\n",,,,);# H- [6 A! a  Y. g" e
else if(boot_config == 0x01) GEL_TextOut("Boot Mode: I2C0 Slave\n",,,,);& M) I) n, }/ n1 z! J/ v
else if(boot_config == 0x07) GEL_TextOut("Boot Mode: I2C1 Slave\n",,,,);' w" a) E) D7 |# i3 V+ n
else if(boot_config == 0x08) GEL_TextOut("Boot Mode: SPI0 EEPROM\n",,,,);, z. r5 g& d3 o. r1 F* z
else if(boot_config == 0x09) GEL_TextOut("Boot Mode: SPI1 EEPROM\n",,,,);( D6 |' _; V9 b1 }2 Z' N$ B
else if(boot_config == 0x0A) GEL_TextOut("Boot Mode: SPI0 Flash\n",,,,);
' X, X3 f- [3 B0 delse if(boot_config == 0x0C) GEL_TextOut("Boot Mode: SPI1 Flash\n",,,,);
5 K" Q2 z3 |) j7 a8 i: U  T  ]: S$ ]6 V5 Aelse if(boot_config == 0x12) GEL_TextOut("Boot Mode: SPI0 Slave\n",,,,);
4 U2 Z2 \/ V+ }. Qelse if(boot_config == 0x13) GEL_TextOut("Boot Mode: SPI1 Slave\n",,,,);
% ^7 y" l4 S* p' l+ felse if((boot_config & 0x3F) == 0x1C) GEL_TextOut("Boot Mode: SDMMC0\n",,,,);
3 B# v/ _. G# Y, aelse if((boot_config & 0x3F) == 0x3C) GEL_TextOut("Boot Mode: SDMMC0, MMC mode\n",,,,);% V3 r0 j7 C4 o  l- P
else if((boot_config & 0x1F) == 0x16) GEL_TextOut("Boot Mode: UART0\n",,,,);
* r% @* g; d) C. Eelse if((boot_config & 0x1F) == 0x17) GEL_TextOut("Boot Mode: UART1\n",,,,);' \9 F% e/ w/ U, O  u
else if((boot_config & 0x1F) == 0x14) GEL_TextOut("Boot Mode: UART2\n",,,,);
# j- Q1 f7 s4 g% ?; q$ {else if(boot_config == 0x04) GEL_TextOut("Boot Mode: HPI\n",,,,);7 P5 A- ?, v2 X9 l
else if(boot_config == 0x1E) GEL_TextOut("Boot Mode: Emulation Debug\n",,,,);0 w: k8 M7 u; R/ a
else if(boot_config == 0x1C && revision0 > 6) GEL_TextOut("Boot Mode: MMCSD0\n",,,,);# j) X& ~; B% `8 M& f+ m7 [
else GEL_TextOut("Boot Mode: INVALID (%x)\n",,,,,boot_config);% Z& o7 m) f6 z$ u+ R8 h4 w

; ?+ k7 x7 G+ i' Hif((boot_config & 0x1F) == 0x16 || (boot_config & 0x1F) == 0x17 || (boot_config & 0x1F) == 0x14) {
/ e% N3 T. J0 Z" w, Zif(((boot_config & 0xE0) >> 5) == 0) GEL_TextOut("24 MHz or 12 MHz input clock\n",,,,);
- u3 T) ^. @) w" `if(((boot_config & 0xE0) >> 5) == 1) GEL_TextOut("27 MHz or 13.5 MHz input clock\n",,,,);
! `; p3 P$ T4 c+ {; {% Uif(((boot_config & 0xE0) >> 5) == 2) GEL_TextOut("30 MHz or 15 MHz input clock\n",,,,);
( O; G& E- [* G7 ?( P# t4 e: Zif(((boot_config & 0xE0) >> 5) == 3) GEL_TextOut("16.8 MHz input clock\n",,,,);5 a# p( h8 G6 T* G+ Z
if(((boot_config & 0xE0) >> 5) == 4) GEL_TextOut("19.2 MHz input clock\n",,,,);
+ \" K. d# F0 |, D8 Xif(((boot_config & 0xE0) >> 5) == 5) GEL_TextOut("24.576 MHz or 12.288 MHz input clock\n",,,,);
8 C( Q2 T1 Z8 A0 cif(((boot_config & 0xE0) >> 5) == 6) GEL_TextOut("25 MHz input clock\n",,,,);
- s! L( {- W0 ^5 X; Rif(((boot_config & 0xE0) >> 5) == 7) GEL_TextOut("26 MHz or 13 MHz input clock\n",,,,);6 @! g# ^2 O- j: ]4 r% h

7 Y! d' ?3 x: N# G; O}
+ k6 H& k# [! [0 K6 [; k}
! X5 C( v7 _6 C) U, A2 ~/ |: Q6 `8 Z2 r; S* p! X, ~
if((revision0 % 2) == 1) {
& E( D3 s) i# N% F# I% j2 |6 TBLCfgStruct = arm_dsp ? ARM_BLCfgStruct : DSP_BLCfgStruct;7 @( u6 y; D$ s
}
5 f. |4 L4 S6 i3 G  O! n# z! U" Y" nelse {
& v1 f) Q- P$ I: H+ pBLCfgStruct = arm_dsp ? ARM_BLCfgStruct : DSP_BLCfgStruct;
- B9 e% n8 L- S  k3 l) z}
errorCode = (BLCfgStruct >> 8) & 0xFF; 5 b% @5 I7 d6 l% B& E
GEL_TextOut("ROM Status Code: %x\n",,,,, errorCode);
if(revision0 == 1) {7 J* o7 j/ G: c, _* l- {
if(errorCode == 0) GEL_TextOut("No error\n",,,,);
8 `0 l  L. W, P% ^3 b6 pelse if(errorCode == 1) GEL_TextOut("Unknown error\n",,,,);
# t: l+ E! ]! b* K( I7 `else if(errorCode == 2) GEL_TextOut("Invalid (or no action) boot mode\n",,,,);
  ~4 b8 d' N" V3 W- Uelse if(errorCode == 3) GEL_TextOut("Function not allowed\n",,,,);& w* L# b* l& s: N- s. v
else if(errorCode == 4) GEL_TextOut("This code should not execute\n",,,,);+ K6 J, @9 z& k# P# I4 w  A" n/ |
else if(errorCode == 5) GEL_TextOut("Waiting to get reset\n",,,,);# w4 }* t  i) y/ }( X2 S! I
else if(errorCode == 6) GEL_TextOut("Invalid bits for device\n",,,,);
7 E  L, |" G9 @/ v+ f* relse if(errorCode == 7) GEL_TextOut("Invalid device type\n",,,,);
5 M- V0 O5 }- d8 }  relse if(errorCode == 8) GEL_TextOut("Invalid device number\n",,,,);
- r: r1 u% s4 k( E- welse if(errorCode == 9) GEL_TextOut("Invalid address range\n",,,,,);' K4 K/ t) }0 w: Y* g+ `
else if(errorCode == 10) GEL_TextOut("Not supported for non-secure device\n",,,,);
% t" f" y5 c9 e  uelse if(errorCode == 11) GEL_TextOut("Invalid password\n",,,,);
) }% y6 E5 m, U6 E/ x6 G- Uelse if(errorCode == 12) GEL_TextOut("Not supported for secure device\n",,,,);
1 X: q- X) N# c  Jelse if(errorCode == 13) GEL_TextOut("Secure ROM checksum failed\n",,,,);
; y; Y1 c1 O9 B# T$ s' I% welse if(errorCode == 14) GEL_TextOut("Invalid RPK\n",,,,);
9 p9 E2 }9 H0 d( celse if(errorCode == 15) GEL_TextOut("Invalid signature\n",,,,);
2 t/ q+ L7 W3 V1 }3 gelse if(errorCode == 16) GEL_TextOut("Buffer overflow\n",,,,);
' o* Q6 t. u! zelse if(errorCode == 17) GEL_TextOut("Invalid AIS keyword\n",,,,);5 I8 u* j  |$ j  z+ l  O$ ?
else if(errorCode == 18) GEL_TextOut("Invalid AIS sync opcode\n",,,,);
( l) i  l. A5 \. K# j: q' K: O5 M# w" nelse if(errorCode == 19) GEL_TextOut("Error parsing AIS opcode\n",,,,);
" I- c# k* G/ f; G7 R$ zelse if(errorCode == 20) GEL_TextOut("Invalid AIS format\n",,,,);
1 @# E- W8 S. \* ^) s2 T; Gelse if(errorCode == 21) GEL_TextOut("Invalid AIS state\n",,,,);; g' Z; F, b6 ~$ H
else if(errorCode == 22) GEL_TextOut("Invalid type in AIS boot table command\n",,,,);
: M) \( s7 d/ @/ d* i0 K5 D) Y. Belse if(errorCode == 23) GEL_TextOut("Invalid type in AIS section fill command\n",,,,);
8 k: n$ U- k5 \% celse if(errorCode == 24) GEL_TextOut("Invalid function index\n",,,,);6 P& \; u" d9 V$ |: s6 F6 j, y
else if(errorCode == 25) GEL_TextOut("Invalid argument count\n",,,,);% }2 _) b* a% X% c. Q0 [+ L4 f
else if(errorCode == 26) GEL_TextOut("Too many CRC errors\n",,,,);
! b+ O! @# Y3 S- B7 x  ]: J( [' ]7 Zelse if(errorCode == 27) GEL_TextOut("Invalid NOR configuration word\n",,,,);) `  @- c/ M- o! p! ~$ L" B
else if(errorCode == 28) GEL_TextOut("SPI bit error\n",,,,);9 q5 m& M1 f% ~
else if(errorCode == 29) GEL_TextOut("Invalid character received by UART\n",,,,);
4 R+ X* j5 d7 {else if(errorCode == 30) GEL_TextOut("UART Overrun Error\n",,,,);$ [+ p$ s2 M' ?: o1 J% w
else if(errorCode == 31) GEL_TextOut("UART Parity Error\n",,,,);
1 o- f# x( a# _3 \$ pelse if(errorCode == 32) GEL_TextOut("UART Frame Error\n",,,,);
) L3 j  v6 v, Y8 z' X$ R0 U' t; Belse if(errorCode == 33) GEL_TextOut("UART Break Indicator\n",,,,);
% ]0 l% e: t8 Nelse GEL_TextOut("Error code not recognized\n",,,,);6 B1 l8 @# u, h  L$ c; z
}* G% i0 Z+ \4 G0 q. z9 C# P  v2 P
else {
) M7 R+ R' F, ]. m/ wif(errorCode == 0) GEL_TextOut("No error\n",,,,);+ @. G9 O. o* Z2 B4 b( `- [
else if(errorCode == 1) GEL_TextOut("DSP was put to sleep\n",,,,);) l% W# c" C: d. M$ i; d/ n$ K% b
else if(errorCode == 2) GEL_TextOut("Unknown error\n",,,,);
- c5 p, [" f/ Z* h6 F0 Velse if(errorCode == 3) GEL_TextOut("One-time Device Init failed\n",,,,);1 V& E) A$ b9 S: F! Q8 F3 [4 t
else if(errorCode == 4) GEL_TextOut("One-time Device finalize failed\n",,,,);# @$ |1 T# [0 D' l- m: H8 o
else if(errorCode == 5) GEL_TextOut("Peripheral Open Failed\n",,,,);) N6 t$ v- @4 w$ b
else if(errorCode == 6) GEL_TextOut("Peripheral Close Failed\n",,,,);
% s; ]) f# ^  ]+ Lelse if(errorCode == 7) GEL_TextOut("Invalid (or no action) boot mode\n",,,,);! @9 z6 g- H9 M% X
else if(errorCode == 8) GEL_TextOut("Invalid peripheral number\n",,,,);
. J8 I+ X  t8 G, p  |else if(errorCode == 9) GEL_TextOut("Invalid AIS keyword\n",,,,,);
1 k$ F, x; C. N5 belse if(errorCode == 10) GEL_TextOut("Invalid AIS sync opcode\n",,,,);
% t8 g: L3 c5 B( `! xelse if(errorCode == 11) GEL_TextOut("Error parsing AIS opcode\n",,,,);
$ h5 D+ O4 a  Z2 @! y$ Uelse if(errorCode == 12) GEL_TextOut("Invalid AIS state\n",,,,);3 s' B: r$ r# v, P
else if(errorCode == 13) GEL_TextOut("Invalid type in AIS boot table command\n",,,,);
) j. Y/ {' G: u1 xelse if(errorCode == 14) GEL_TextOut("Invalid type in AIS section fill command\n",,,,);% g( J+ x; ~% n1 F# ?3 ^
else if(errorCode == 15) GEL_TextOut("Invalid function index\n",,,,);& u& }0 Y% ~5 Q, a( k! N0 M
else if(errorCode == 16) GEL_TextOut("Invalid argument count\n",,,,);
  x# b, l9 }! k. e* b2 i4 aelse if(errorCode == 17) GEL_TextOut("Function execute command failed\n",,,,);* A/ |  P: F& A1 z# Q
else if(errorCode == 18) GEL_TextOut("Too many CRC errors\n",,,,);; F3 I5 @7 ~5 e" z) s) ^
else if(errorCode == 19) GEL_TextOut("Invalid NOR configuration word\n",,,,);
9 v  [) B* Z. b" melse if(errorCode == 20) GEL_TextOut("SPI bit error\n",,,,);
+ e- C( ?0 ^* Z- velse if(errorCode == 21) GEL_TextOut("Invalid character received by UART\n",,,,);
" B. P/ {% D) ^% @' v' belse if(errorCode == 22) GEL_TextOut("UART Overrun Error\n",,,,);: G9 I- x9 F) [1 z7 t0 a* C
else if(errorCode == 23) GEL_TextOut("UART Parity Error\n",,,,);, B2 o6 b. c* U$ L  k2 a' \; U
else if(errorCode == 24) GEL_TextOut("UART Frame Error\n",,,,);$ e5 F- S  I- q; V5 M/ L) }
else if(errorCode == 25) GEL_TextOut("UART Break Indicator\n",,,,);6 p+ G. `4 d& j! h- E* X) e
else if(errorCode == 26) GEL_TextOut("NAND read page failed\n",,,,);4 W0 T0 f1 u* |- ~+ F- e* @5 K8 L
else if(errorCode == 27) GEL_TextOut("SDMMC read error\n",,,,);. r" I" W$ s$ e4 k& P( P  G
else if(errorCode == 128+1) GEL_TextOut("Secure key has not been installed\n",,,,);4 @2 ^/ G! m9 E# m9 s" m
else if(errorCode == 128+2) GEL_TextOut("Invalid Boot exit type\n",,,,);
: F* \2 k, ~* g# P* j( g( selse if(errorCode == 128+3) GEL_TextOut("Waiting to get reset\n",,,,);
, F6 _* p# K8 ?8 w7 Zelse if(errorCode == 128+4) GEL_TextOut("Invalid type of device\n",,,,);
4 h* e% f4 e! i/ G  V0 Kelse if(errorCode == 128+5) GEL_TextOut("Invalid address range\n",,,,);$ q: T/ x: W+ M, [
else if(errorCode == 128+6) GEL_TextOut("Not supported for non-secure device\n",,,,);: I: I5 C0 K/ V8 b0 `7 h% z# t
else if(errorCode == 128+7) GEL_TextOut("Invalid password\n",,,,);  E+ x0 s# ~, b7 {1 D  h" R( T
else if(errorCode == 128+8) GEL_TextOut("Not supported for secure device\n",,,,);
/ x! B' C2 k" ^4 _8 f; p9 B' relse if(errorCode == 128+9) GEL_TextOut("Secure ROM checksum failed\n",,,,);) _' [+ `2 n( E
else if(errorCode == 128+10) GEL_TextOut("Invalid RPK\n",,,,);
# N9 \4 v# c+ D% m5 d. H% u, b3 A7 z% melse if(errorCode == 128+11) GEL_TextOut("Invalid signature\n",,,,);4 \3 F7 H% w  U$ Q
else if(errorCode == 128+12) GEL_TextOut("AIS command not allowed for this device type\n",,,,);, F' U! r# ?6 S  d  x
else if(errorCode == 128+13) GEL_TextOut("Secure Loading failure\n",,,,);
3 Y+ [4 q& m5 J6 q! w- lelse if(errorCode == 128+14) GEL_TextOut("Function not allowed\n",,,,);1 \) h, x" E) \0 P
else if(errorCode == 128+15) GEL_TextOut("JTAG Read failed\n",,,,);' ?* X: l' J+ ?% B( Y* s- C
else GEL_TextOut("Error code not recognized\n",,,,);
! O: ~* O  t+ \7 u+ y8 H}
% i2 x0 z) l! U  \  wGEL_TextOut("Program Counter (PC) = %x\n",,,,,PC);2 I' U5 _! j3 d: P' S5 \4 w
}
menuitem "Diagnostics"
2 S, S$ R) d, I. _' I* c  ?hotmenu Print_Device_Info()3 w9 T5 s/ T4 {
{+ }- D3 u  ?/ i$ d+ \, E! C
int j;. _5 E9 Y' a+ H- A
char k = 65;
GEL_TextOut("---------------------------------------------\n",,,,);9 l' g/ Z% h1 O' V4 s3 `
GEL_TextOut("| Device Information |\n",,,,);4 r. t5 m  N1 m2 Q" I1 @$ E
GEL_TextOut("---------------------------------------------\n",,,,);
9 T' }0 v" c4 oGEL_TextOut("DEV_INFO_00 = %x\n",,,,,DEV_INFO_00);
" j  u6 D) G% }3 JGEL_TextOut("DEV_INFO_01 = %x\n",,,,,DEV_INFO_01);- v. ~. N" w4 D, D1 R
GEL_TextOut("DEV_INFO_02 = %x\n",,,,,DEV_INFO_02);
$ ?1 T. R/ H/ S+ WGEL_TextOut("DEV_INFO_03 = %x\n",,,,,DEV_INFO_03);1 E3 z5 r. M3 r8 L# s5 V
GEL_TextOut("DEV_INFO_04 = %x\n",,,,,DEV_INFO_04);5 Z9 e( M, @1 a6 A# a" Q
GEL_TextOut("DEV_INFO_05 = %x\n",,,,,DEV_INFO_05);
$ {9 q6 O( S" A* }GEL_TextOut("DEV_INFO_06 = %x\n",,,,,DEV_INFO_06);
1 o/ @" y' I4 \- XGEL_TextOut("DEV_INFO_07-DEV_INFO_08-DEV_INFO_09-DEV_INFO_10-DEV_INFO_11-DEV_INFO_12 = %d-%d-%d-%d-%d-%d\n",,,,,DEV_INFO_07,DEV_INFO_08,DEV_INFO_09,DEV_INFO_10,DEV_INFO_11,DEV_INFO_12);
# q8 X) T' q4 i; V. CGEL_TextOut("DEV_INFO_13,DEV_INFO_14,DEV_INFO_15,DEV_INFO_16 = %d,%d,%d,%d\n",,,,,DEV_INFO_13,DEV_INFO_14,DEV_INFO_15,DEV_INFO_16);, U0 `" `1 y8 Z! T+ h
GEL_TextOut("-----\n",,,,);
( F7 A2 p* R0 o, o8 d( i6 t# wGEL_TextOut("DEV_INFO_17 = %x\n",,,,,DEV_INFO_17);
" m4 }; Z0 O# uGEL_TextOut("DEV_INFO_18 = %x\n",,,,,DEV_INFO_18);
' }# ?: j) O1 k* t! v9 r1 K1 ~GEL_TextOut("DEV_INFO_19 = %x\n",,,,,DEV_INFO_19);
& M% u/ {% t) Q9 Q6 FGEL_TextOut("-----\n",,,,);
! G* o& f) w) M* E6 Q. DGEL_TextOut("DEV_INFO_20 = %x\n",,,,,DEV_INFO_20);
  I$ O2 n% V, }' IGEL_TextOut("DEV_INFO_21 = %x\n",,,,,DEV_INFO_21);
, v9 Y1 m# r6 H2 y) s5 uGEL_TextOut("DEV_INFO_22 = %x\n",,,,,DEV_INFO_22);' l* T! r& l% B
GEL_TextOut("DEV_INFO_23 = %x\n",,,,,DEV_INFO_23);
3 m' k; H( U8 K! |GEL_TextOut("-----\n",,,,);
# [: O8 O& {) X3 B; R0 |3 m. jGEL_TextOut("DEV_INFO_24 = %x\n",,,,,DEV_INFO_24);
1 l' u% c( v; g& t: B" O+ k6 B0 [GEL_TextOut("DEV_INFO_25 = %x\n",,,,,DEV_INFO_25);
# H! ]% l, A4 h9 l5 QGEL_TextOut("DEV_INFO_06 = %x\n",,,,,DEV_INFO_06);
9 G2 H; \+ W, j* Z. X  p) O, c- UGEL_TextOut("DEV_INFO_26 = %x\n",,,,,DEV_INFO_26);- N: t3 M% u/ A6 c' W" @
GEL_TextOut("\n\n",,,,);7 e- h% Z7 g& M
}

7 Y8 f6 e* V0 g7 i* q( zmenuitem "Diagnostics"
- p) }! T0 b4 m  v. [  {hotmenu Print_PLL_Configuration()* o8 F+ W$ {; Q+ m) V
{. T* o. k8 g  _2 d' r" D7 l
// PLL0 registers
) W0 S+ R" f6 |( y! ^6 c" ~3 _unsigned int pll0_ocsel, pll0_pllm, pll0_prediv, pll0_postdiv;
; _( r8 {* \( }. R9 A+ c  F4 @unsigned int pll0_plldiv1, pll0_plldiv2, pll0_plldiv3, pll0_plldiv4;- A7 I1 K0 z) g8 V; n
unsigned int pll0_plldiv5, pll0_plldiv6, pll0_plldiv7, pll0_pllctl;
// PLL0 clocks
! k8 U# {0 u. L3 Z" Eunsigned int pll0clk_prediv, pll0clk_pllen;3 d, H) D' Z5 B; m0 ]6 @6 f# T2 V* l  g
unsigned int pll0clk_pllout, pll0clk_pllout_postdiv, pll0clk_sysclk1;
+ K+ q5 ]2 w) S3 c3 S2 e6 Z6 k$ Munsigned int pll0clk_sysclk2, pll0clk_sysclk3, pll0clk_sysclk4, pll0clk_sysclk5;
! r2 O5 [# Z" Eunsigned int pll0clk_sysclk6, pll0clk_sysclk7;
// PLL1 registers
# c5 Q7 g# T0 e2 [6 j( ^unsigned int pll1_pllctl, pll1_ocsel, pll1_pllm, pll1_postdiv;% {6 a, {( z- C; `, m
unsigned int pll1_plldiv1, pll1_plldiv2, pll1_plldiv3;
// PLL1 clocks
( P6 R9 [7 r3 d# N1 r  Iunsigned int pll1clk_pllout, pll1clk_pllout_postdiv, pll1clk_pllen;' h0 Q  Z+ i9 J; y( z6 l! m/ B
unsigned int pll1clk_sysclk1, pll1clk_sysclk2, pll1clk_sysclk3;
// Device in use
' P, ^  ^7 t9 `8 f  `unsigned int arm_dsp, rom_id, revision0;
5 j% D0 i" _  l$ Karm_dsp = ((ARM_ROM_ID & 0xFF) == 0x6B) ? 1 : 0;
9 p* Z! G8 j% K: Z  T3 drom_id = (arm_dsp) ? ARM_ROM_ID : DSP_ROM_ID;! _- x; p9 ]' s4 T! G
revision0 = ((rom_id & 0xFF000000) >>24) - 48;
/***** Calculate PLL1 clock values first since PLL1 can be input to PLL0 */
if ((revision0%2) == 0) //PLL1 exists only on OMAP-L138 and pin-for-pin compatible
! d( u  |' O1 U{
7 T2 i9 N! L: Y* E9 ?2 h  epll1_pllctl = *(unsigned int*)(PLLC1_BASE_ADDRESS + PLLCTL_OFFSET);
  v  ]3 z, A5 M& f- |pll1_pllm = *(unsigned int*)(PLLC1_BASE_ADDRESS + PLLM_OFFSET);
4 F0 `. E+ \+ U6 c7 a/ bpll1_postdiv = *(unsigned int*)(PLLC1_BASE_ADDRESS + POSTDIV_OFFSET);; p. D& L! P5 ~' o3 Q. R" ]
pll1_plldiv1 = *(unsigned int*)(PLLC1_BASE_ADDRESS + PLLDIV1_OFFSET);
) _9 ^! O/ E4 A$ upll1_plldiv2 = *(unsigned int*)(PLLC1_BASE_ADDRESS + PLLDIV2_OFFSET);1 w7 Y! I% {# ^0 `
pll1_plldiv3 = *(unsigned int*)(PLLC1_BASE_ADDRESS + PLLDIV3_OFFSET);; W2 G7 y! R( ~1 R6 V
2 h* @8 p3 q! S$ o9 ]
pll1clk_pllout = OSCIN_FREQ * ((pll1_pllm & 0x1F) + 1);. U4 r/ D  n5 n. A$ }

0 L& q! I6 E% gif ((pll1_postdiv & 0x8000) == 0x8000)6 j- ~  w9 J8 `4 \. K
{
4 c  g( j/ J7 T: _pll1clk_pllout_postdiv = pll1clk_pllout / ((pll1_postdiv & 0x1F) + 1);1 {$ H) F, ^/ ^' b7 H; A) D
}/ X6 L! P+ A- {  n, p
else
3 ]9 `. F# C7 h- b{
+ E- d' m0 u- w# h7 |1 \pll1clk_pllout_postdiv = pll1clk_pllout;" }& Y- S5 L# F  f
}
' ]! w+ B3 d3 w4 g& ]
7 Y5 d' J* l: [1 k6 P  [if ((pll1_pllctl & 1) == 1), U' F- C1 ~7 ~* i  A+ G
{
* G, X2 U7 i6 ^% Y+ Q' |6 Cpll1clk_pllen = pll1clk_pllout_postdiv;
) \; K' o6 q- d}
; W8 _1 X/ Z4 N3 w, \2 S4 Yelse9 I; P2 d$ p. h9 `: w
{: j) R% M7 F2 K! `  H- H
pll1clk_pllen = OSCIN_FREQ;
1 V8 \4 [6 b" r}
1 W5 Z% h9 X% N  Z; o" \/ H7 V6 y1 V4 [6 s& l; }5 y9 D
if ((pll1_plldiv1 & 0x8000) == 0x8000)
5 s* W! L, h* ]2 I7 M" G# g{* w9 V1 H& l$ r3 F; v) s
pll1clk_sysclk1 = pll1clk_pllen / ((pll1_plldiv1 & 0x1F) + 1);! y* R7 ^5 D$ G1 t
}
- b- o4 \; f( ]  h9 y' _# ]else
0 r8 w7 k6 |9 Q, b; G{9 a9 r( {* D, M
pll1clk_sysclk1 = pll1clk_pllen;  Y& }/ K5 R, W3 o1 w/ D; C8 F
}
' V2 B5 E& n% ]; h4 b, L9 ^, \, l" u
( K; ]% W/ i! Bif ((pll1_plldiv2 & 0x8000) == 0x8000)0 |$ e/ D* j* ~; h+ r  j, h" P
{
# f. e( H% @8 H: m% V4 K# ipll1clk_sysclk2 = pll1clk_pllen / ((pll1_plldiv2 & 0x1F) + 1);* ^3 f; g/ U" k6 Y( t+ |
} ( {/ L* u# C% x0 x
else# _8 Q1 f, ^; R
{
% G" l* a4 I: ?4 T* H0 Wpll1clk_sysclk2 = pll1clk_pllen;
, f4 t$ R& k9 A' e8 v" X1 \}, S" \+ c" a- d+ E5 \

& U4 i! ^5 @$ \* K: U7 M0 U! [if ((pll1_plldiv3 & 0x8000) == 0x8000)) P1 z0 s- a0 A/ e
{5 w; Q1 K& |6 M
pll1clk_sysclk3 = pll1clk_pllen / ((pll1_plldiv3 & 0x1F) + 1);2 J) m  n/ h  f6 g' p  O* I# `
}
0 `" l3 @* Q! R) g0 B7 Pelse0 Q' s2 n: ~" ]! d7 z& r
{
2 z2 G$ Z+ x7 {. q) A- @, N4 [pll1clk_sysclk3 = pll1clk_pllen;1 v6 k/ ^3 }7 y, J: c. |
}
7 O% |5 Q. H: f" O: f& e4 w9 |}
, {! o6 ?6 p' w) {* n! T5 I" L. B- f( j  v
/***** Calculate PLL0 clock values *****/7 D  E( d* K* s2 Y7 p0 h
( ]4 d) b$ X- O" i' A2 I
pll0_pllctl = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLCTL_OFFSET);1 V2 u& q( Z; I; N& u$ @) d
pll0_prediv = *(unsigned int*)(PLLC0_BASE_ADDRESS + PREDIV_OFFSET);4 D/ C, L+ g2 f) n* i
pll0_pllm = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLM_OFFSET);
+ }% B8 x6 f% J( ipll0_postdiv = *(unsigned int*)(PLLC0_BASE_ADDRESS + POSTDIV_OFFSET);
5 _  Z1 B) b# y$ X' }pll0_plldiv1 = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLDIV1_OFFSET);
: |% P" Y& f1 U& d' n% }pll0_plldiv2 = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLDIV2_OFFSET);
. T. e1 ^, P$ L# m+ @" N2 @pll0_plldiv3 = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLDIV3_OFFSET);& z: Q" F# a3 Z+ F  }' H
pll0_plldiv4 = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLDIV4_OFFSET);1 p5 v5 x' f: g/ V
pll0_plldiv5 = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLDIV5_OFFSET);2 e8 E- \3 u7 g8 N
pll0_plldiv6 = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLDIV6_OFFSET);) O# O2 Z- \: j# l5 i
pll0_plldiv7 = *(unsigned int*)(PLLC0_BASE_ADDRESS + PLLDIV7_OFFSET);
if ((pll0_prediv & 0x8000) == 0x8000)
2 Q5 a: l; ^& k: ]/ m2 Q; u{7 C2 S7 S$ U$ S* i( E
pll0clk_prediv = OSCIN_FREQ / ((pll0_prediv & 0x1F) + 1);* A4 A3 {1 x& y% X" E
}
6 @4 {. I4 |2 welse
% B+ o% a3 F% g+ O$ F" I* c5 U! z{: A% d% A9 V9 Y
pll0clk_prediv = OSCIN_FREQ;6 y# v' q& c/ U2 j2 s& v
}
7 E+ O. I# m5 }" ^' |% M- v& `
0 a& q- x: _( i  A# |5 Wpll0clk_pllout = pll0clk_prediv * ((pll0_pllm & 0x1F) + 1);
if ((pll0_postdiv & 0x8000) == 0x8000)" N: N0 \; e1 }* R- O1 T/ U
{5 t+ G0 _; K- n1 d
pll0clk_pllout_postdiv = pll0clk_pllout / ((pll0_postdiv & 0x1F) + 1);
" K& g# S8 \! E}2 Y" K( f5 _( @
else
( T- ~% |* L& r3 j! }{
- q* U9 t* f5 U& Y' X% U! Qpll0clk_pllout_postdiv = pll0clk_pllout;
' t# M7 ?6 P! `+ W, c; W% l}$ g* R* |9 S% S+ D. |- V1 |
. _- Q2 M" m5 ]$ H/ W9 |
// Check PLLCTL[PLLEN]
4 p) m$ j( G) v# jif ((pll0_pllctl & 1) == 1)& ^* r, [  Y, b( @, O! g
{0 t- i! P& b' X3 `
pll0clk_pllen = pll0clk_pllout_postdiv;  z/ W( b- [# ?1 ]$ e2 c* N
}9 \, n2 u. i( A" F
else3 F( K& X) @, O2 i) [7 c' T
{
  l8 i- R4 s" R) U- }# b// Check PLLCTL[EXTCLKSRC]
6 h7 `7 l  U8 g' n$ [$ G$ a1 E+ qif ( (pll0_pllctl & (1<<9)) == (1<<9) )
; T8 I+ r# p4 y* J: L1 j1 ~2 [, t8 n{( K. @" A1 c& ]' Q! e  c
pll0clk_pllen = pll1clk_sysclk3;
# M0 X9 a  G' B9 S}' f' D( f8 p- c* I. ?, T5 A  v. r
else( U: P; w  _; `1 ]; M+ J2 U
{+ `/ j) z3 i0 A8 r- y% ?
pll0clk_pllen = OSCIN_FREQ;5 N( E& d* Q( v
}
; R# N4 c( G' c) B8 D1 V" F4 k}
- s3 r: @: B& j! z# G6 ]/ Y4 Z9 ?; q! q7 \- _
if ((pll0_plldiv1 & 0x8000) == 0x8000)
' `4 R) ~0 V/ Q- z% s: k# d' W0 e{" \+ [0 H0 U0 x7 [) @3 ?+ z
pll0clk_sysclk1 = pll0clk_pllen / ((pll0_plldiv1 & 0x1F) + 1);( K, v! k& Q) H. x
} , @. k3 x: E4 |7 `: x
else6 A6 L! x& T0 ^4 E" _- M
{
7 U$ ^% J  l  J6 X# A& tpll0clk_sysclk1 = pll0clk_pllen;
3 n: r& ^5 T+ `}
) J( L  }3 e6 A
' A  D; v+ Y8 ?: i3 s: N5 |: _if ((pll0_plldiv2 & 0x8000) == 0x8000)
, ]  N$ [& I* V& Y7 [{/ C) S  V5 o0 V5 D4 c
pll0clk_sysclk2 = pll0clk_pllen / ((pll0_plldiv2 & 0x1F) + 1);- p: R8 a+ J. W5 a
} ! t, E- X/ P8 T% i2 @" e0 A  r
else
7 s+ y3 {% q, L{2 z% y- S: J: y3 t" l
pll0clk_sysclk2 = pll0clk_pllen;
+ U5 b% M% d2 S& U; R4 u4 X9 J}
if ((pll0_plldiv3 & 0x8000) == 0x8000)
6 e4 G% `# p' j- |{
, `: G: h3 C0 g) y- Ipll0clk_sysclk3 = pll0clk_pllen / ((pll0_plldiv3 & 0x1F) + 1);2 X% W, V6 \" L4 \( ?4 i$ U0 J
}
1 v$ |& n2 j$ E' X* l/ q9 ^else
$ B: A- M% S  [& ^4 `{$ d: h  ~; Y$ U" R0 ]+ \7 H
pll0clk_sysclk3 = pll0clk_pllen;
& n8 ]" t2 Q7 S/ n+ A1 [}, o7 O! y* Y0 [( F

+ m# H$ R+ t2 W9 ]2 d4 D) cif ((pll0_plldiv4 & 0x8000) == 0x8000): m2 d7 u. G; |; I: U
{
: m! X; l, S$ z0 K% L) o/ L% G* o. Q0 ?pll0clk_sysclk4 = pll0clk_pllen / ((pll0_plldiv4 & 0x1F) + 1);
6 G" g4 E. N; O}
7 e) z* v& z- j' k. q9 Zelse) K' I$ N2 S' m% D# [7 D
{
9 C4 R, p) y5 H, A' P4 Y& gpll0clk_sysclk4 = pll0clk_pllen;
( J7 B# f8 }+ }) _& c}2 a2 @1 M2 M: ~" `5 {9 Z

) V) C- D; M8 zif ((pll0_plldiv5 & 0x8000) == 0x8000)
- h4 {1 b' v8 E8 G{- a5 U  f& K/ G' `& w
pll0clk_sysclk5 = pll0clk_pllen / ((pll0_plldiv5 & 0x1F) + 1);
; e% p1 [9 d7 q, l: V  }7 i- H}
: }( l8 _$ ~4 V% P6 S( o" I, R% @else8 v- Y# \0 X% B1 |
{
4 g" P3 q. L1 z( t- g- e6 ypll0clk_sysclk5 = pll0clk_pllen;5 _4 d9 F3 `( }2 W3 E7 I
}
if ((pll0_plldiv6 & 0x8000) == 0x8000)
% O8 L3 G. f# H* M9 F* Z{7 A0 b& j1 _+ H- }
pll0clk_sysclk6 = pll0clk_pllen / ((pll0_plldiv6 & 0x1F) + 1);2 b; q4 F4 m2 v
}
" _0 B% a% Y  z( ~" o$ helse$ l2 p) C# W( x
{
4 L+ Z5 \$ A) K2 X; u1 Ypll0clk_sysclk6 = pll0clk_pllen;1 a: D* t% X6 Z$ @" ^- ^7 V3 W* j% v
}
if ((pll0_plldiv7 & 0x8000) == 0x8000)  e. i4 a) ~6 @# p& o
{& Y2 D8 O% v# L& X" T. d! A
pll0clk_sysclk7 = pll0clk_pllen / ((pll0_plldiv7 & 0x1F) + 1);
0 w3 |0 n2 G& I}
! h, }) X, I( h8 T0 `else. ?7 C9 z. x1 E0 B" ~
{# c0 w1 Q- [' n$ E: p( c8 u' [
pll0clk_sysclk7 = pll0clk_pllen;, d% Z, V5 U8 O, ]
}
GEL_TextOut("\n");
  Z4 Y. b3 c- v$ H' G% |0 VGEL_TextOut("---------------------------------------------\n");
, |- j8 v6 j' ?' ~GEL_TextOut("| Clock Information |\n");
- ]2 \7 W' h; V# Z( D3 H# rGEL_TextOut("---------------------------------------------\n"); 9 U% @- m- p7 N& q2 G
GEL_TextOut("\n");
// if PLLCTL[CLKMODE] == 1
5 T. E. i  p' R/ I" [2 O- W$ Oif ( (pll0_pllctl & (1<<8)) == (1<<8) )8 m2 q! H& ]3 d9 q7 m
{& r; R0 d6 `- E9 _+ J
GEL_TextOut("PLLs configured to utilize 1.2V square wave input.\n");: {8 `' G% C* e: a
}( q& Z7 L- J- L
else' ^( h5 Q( C( O" |  E6 ^( H
{
8 B2 \4 O4 n7 U, u5 O0 e3 ?GEL_TextOut("PLLs configured to utilize crystal.\n");; m# u6 q0 L% h5 ]
}
// if CFGCHIP3[ASYNC3_CLKSRC] == 1
0 F  _( i% G$ [" m2 v3 c+ L* z# hif ( (CFGCHIP3 & (1<<4)) == 0 ): v& r: `* f9 Z6 }% b- N
{$ w/ n" C& @& s4 N/ y! M4 t* y
GEL_TextOut("ASYNC3 = PLL0_SYSCLK2\n");
% `  O" p8 Q% b}8 k: t- S4 N! |1 N2 U; `% u# T' q
else/ M1 }& i, F8 O* M, |. q+ [) X
{
/ g! w4 k) {' Y/ ?* pGEL_TextOut("ASYNC3 = PLL1_SYSCLK2\n");# S( ]% v$ Q+ ~! t) D/ G
}8 S6 k% Q  V( H6 p
. O1 x) o0 O' n/ \
GEL_TextOut("\n");
( g3 n; B- {2 MGEL_TextOut("NOTE: All clock frequencies in following PLL sections are based\n");
  x& i5 f$ n* E) L! J. i7 \GEL_TextOut("off OSCIN = %d MHz. If that value does not match your hardware\n",,,,, OSCIN_FREQ);" B' I; o3 b0 g
GEL_TextOut("you should change the #define in the top of the gel file, save it,\n");0 k( D/ }) Q7 L! e
GEL_TextOut("and then reload.\n");
GEL_TextOut("\n");0 R5 ~3 {- X6 R7 T
GEL_TextOut("---------------------------------------------\n");
1 Q, V/ @/ F+ C$ pGEL_TextOut("| PLL0 Information |\n");! A* t( m% C) ?, f3 R
GEL_TextOut("---------------------------------------------\n");
+ Y0 U- }" y9 s- P) HGEL_TextOut("\n");2 w: H" F+ P: |
9 U  v1 w; h4 m' S- r
// Uncomment to see intermediate clock calculations& T( j! G7 B. G+ p
//GEL_TextOut("PLL0_PREDIV = %d MHz\n",,,,, pll0clk_prediv);
, o6 y+ R8 e( k0 w, V( ]//GEL_TextOut("PLL0_PLLOUT = %d MHz\n",,,,, pll0clk_pllout);! y+ B' Q9 S& b2 m, F# ]9 V# m) q
//GEL_TextOut("PLL0_PLLOUT_POSTDIV = %d MHz\n",,,,, pll0clk_pllout_postdiv);3 |2 V( S6 Q1 |  k
//GEL_TextOut("PLL0_PLLEN = %d MHz\n",,,,, pll0clk_pllen);
- L5 G! Z  A* U3 S9 T5 C4 R" }' y- z. N# V: p
GEL_TextOut("PLL0_SYSCLK1 DSP = %d MHz\n",,,,, pll0clk_sysclk1);
7 u* G9 q, A. `% [GEL_TextOut("PLL0_SYSCLK2 ASYNC3 = %d MHz\n",,,,, pll0clk_sysclk2);; r4 n4 b/ F( K) F0 j- L
GEL_TextOut("PLL0_SYSCLK3 EMIFA = %d MHz\n",,,,, pll0clk_sysclk3);
6 _* v8 [' j5 C0 ]4 j. B/ }GEL_TextOut("PLL0_SYSCLK4 = %d MHz\n",,,,, pll0clk_sysclk4);
5 |! F) J8 C2 `/ AGEL_TextOut("PLL0_SYSCLK5 Not used = %d MHz\n",,,,, pll0clk_sysclk5);. u, K0 e" q8 N& `1 t
GEL_TextOut("PLL0_SYSCLK6 ARM(OMAPL138) = %d MHz\n",,,,, pll0clk_sysclk6);
6 M! C( _3 T; y! M; C8 j* VGEL_TextOut("PLL0_SYSCLK7 EMAC = %d MHz\n",,,,, pll0clk_sysclk7);) }; _, a! Y( R2 h5 J8 w% C

- }  b* V( w- x# b- rif ( (pll0clk_sysclk1 / pll0clk_sysclk2) != 2 )
! M  }$ U2 |$ E+ ~! i0 z{
' ?; I! x$ j4 L; f& x) w, JGEL_TextOut("Error: PLL0_SYSCLK2 must equal PLL0_SYSCLK1 / 2\n");
1 M+ u+ Q; i8 q1 e! {! |}
if ( (pll0clk_sysclk1 / pll0clk_sysclk4) != 4 )6 P! m8 J4 @3 v: ~% l+ `8 {7 O/ E  Y$ f
{6 c: Y. s4 n, L9 ?, f8 m) |0 v
GEL_TextOut("Error: PLL0_SYSCLK4 must equal PLL0_SYSCLK1 / 4\n");
7 m% t. m/ {, P4 G}
if ( (pll0clk_sysclk1 / pll0clk_sysclk6) != 1 )
- j6 ^; `5 m1 u7 o! W{
$ X! }6 H/ h3 C* A. K1 K: IGEL_TextOut("Error: PLL0_SYSCLK6 must equal PLL0_SYSCLK1 / 1\n");% J) X$ f9 F% j1 J" ~9 j( |
}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only' p# o8 \2 z: P. \  ]% z6 @6 D
{1 q. z# j0 Z" ~) g, V
GEL_TextOut("\n");
- p9 o) L6 U- T$ m2 I/ M4 fGEL_TextOut("---------------------------------------------\n");1 K7 O- q6 @0 p4 ~# ?* q
GEL_TextOut("| PLL1 Information |\n");; r. j4 J5 o6 L; b: Q
GEL_TextOut("---------------------------------------------\n");
  Z. F9 K! c/ N7 ]2 RGEL_TextOut("\n");
  l  X7 h- N3 v' R: }* i* C6 y& E; o
// Uncomment to see intermediate clock calculations
, {/ S, m! j0 \; `# F  n# L% ~//GEL_TextOut("PLL1_PLLOUT = %d MHz\n",,,,, pll1clk_pllout);2 `- O9 v! D  y# T7 z2 o% j* R
//GEL_TextOut("PLL1_PLLOUT_POSTDIV = %d MHz\n",,,,, pll1clk_pllout_postdiv);0 c+ w7 [* v, g; n. `( r5 T4 z
//GEL_TextOut("PLL1_PLLEN = %d MHz\n",,,,, pll1clk_pllen);
& j, ]- o6 @! f! R9 a0 v: o+ u3 ^6 A1 F; w+ [( e
GEL_TextOut("PLL1_SYSCLK1 DDR2 = %d MHz\n",,,,, pll1clk_sysclk1);
/ u# D7 e# s: x1 a, S: @; iGEL_TextOut("PLL1_SYSCLK2 ASYNC3 = %d MHz\n",,,,, pll1clk_sysclk2);7 g7 G+ F4 U5 S) H& r* A# b
GEL_TextOut("PLL1_SYSCLK3 = %d MHz\n",,,,, pll1clk_sysclk3);
& e; c5 X! I! K5 Z4 h}
: I! b: I1 Q, c" A" Q8 g}
menuitem "Diagnostics"
( `. z. t- P) ]# Yhotmenu Print_PSC_Status(). T2 I0 N: V; _/ E9 O' r- S, q
{
+ y+ [1 K- |( c4 G* f- qunsigned int *pPSC0_MDSTAT = (unsigned int*)0x01C10800;+ g. g% r% ?" h7 l; ?
unsigned int *pPSC1_MDSTAT = (unsigned int*)0x01E27800;
3 ]* y0 j6 ?& j: b2 y' L! t" M- F) o  N% ^
// Device in use4 e1 x' F+ R* Y7 S$ u  F0 q( W* n
unsigned int arm_dsp, rom_id, revision0;
arm_dsp = ((ARM_ROM_ID & 0xFF) == 0x6B) ? 1 : 0;
2 `" p/ O. w: f6 p4 ^: irom_id = (arm_dsp) ? ARM_ROM_ID : DSP_ROM_ID;
0 I( m6 z# I$ M$ t$ c9 srevision0 = ((rom_id & 0xFF000000) >>24) - 48;
GEL_TextOut("\n");& f9 S, U" N0 [* n6 p1 v0 a+ h
GEL_TextOut("---------------------------------------------\n");
( o; }& D7 L% S, Q8 IGEL_TextOut("| PSC0 Information |\n");
: ]% b* y& U% ^9 Y  Q$ KGEL_TextOut("---------------------------------------------\n"); ( g6 O' E4 n/ `& r
GEL_TextOut("\n");
GEL_TextOut("State Decoder:\n");
/ {& P; c7 _% ^  u% d6 H0 W5 EGEL_TextOut(" 0 = SwRstDisable (reset asserted, clock off)\n");$ Z! s! o  f8 j
GEL_TextOut(" 1 = SyncReset (reset assered, clock on)\n");
! k/ t& W) d" z0 ?. BGEL_TextOut(" 2 = Disable (reset de-asserted, clock off)\n");
, p% m  D/ I, BGEL_TextOut(" 3 = Enable (reset de-asserted, clock on)\n");0 v7 t, @% a2 {. k$ p; o# f
GEL_TextOut(">3 = Transition in progress\n");& s+ R# h- Q& H
GEL_TextOut("\n"); ! J% `, Z" p( n( Q8 J* X! n
$ t' @% v8 |. Q2 _' W- u: a
GEL_TextOut("Module 0: EDMA3CC (0) STATE = %d\n",,,,, (pPSC0_MDSTAT[0] & 0x3F));
; I' {! J6 H0 f! I% |+ b7 ~GEL_TextOut("Module 1: EDMA3 TC0 STATE = %d\n",,,,, (pPSC0_MDSTAT[1] & 0x3F));0 n# N' G( `& a& L9 S$ [' @
GEL_TextOut("Module 2: EDMA3 TC1 STATE = %d\n",,,,, (pPSC0_MDSTAT[2] & 0x3F));( `+ |8 A9 v$ Y/ K2 i, ?
GEL_TextOut("Module 3: EMIFA (BR7) STATE = %d\n",,,,, (pPSC0_MDSTAT[3] & 0x3F));# S6 n0 X6 F0 V) s
GEL_TextOut("Module 4: SPI 0 STATE = %d\n",,,,, (pPSC0_MDSTAT[4] & 0x3F));. H7 d& b4 ?) @. M% o
GEL_TextOut("Module 5: MMC/SD 0 STATE = %d\n",,,,, (pPSC0_MDSTAT[5] & 0x3F));8 g0 B  V) ?" p9 T
GEL_TextOut("Module 6: AINTC STATE = %d\n",,,,, (pPSC0_MDSTAT[6] & 0x3F));
' U! d8 x3 S! v) _2 {" m$ _GEL_TextOut("Module 7: ARM RAM/ROM STATE = %d\n",,,,, (pPSC0_MDSTAT[7] & 0x3F));
' J4 t. h1 W- r3 Y6 IGEL_TextOut("Module 9: UART 0 STATE = %d\n",,,,, (pPSC0_MDSTAT[9] & 0x3F));* y4 C0 a5 W4 k8 U- `, z/ W2 f1 c' v
GEL_TextOut("Module 10: SCR 0 (BR0/1/2/8) STATE = %d\n",,,,, (pPSC0_MDSTAT[10] & 0x3F));
; h1 p& u" }0 N1 pGEL_TextOut("Module 11: SCR 1 (BR4) STATE = %d\n",,,,, (pPSC0_MDSTAT[11] & 0x3F));
- N: Z5 w0 g" j+ Z5 oGEL_TextOut("Module 12: SCR 2 (BR3/5/6) STATE = %d\n",,,,, (pPSC0_MDSTAT[12] & 0x3F));- K& }: r3 `( W- e
GEL_TextOut("Module 13: PRUSS STATE = %d\n",,,,, (pPSC0_MDSTAT[13] & 0x3F));
+ S' `0 a( J- U6 }6 MGEL_TextOut("Module 14: ARM(OMAPL138) STATE = %d\n",,,,, (pPSC0_MDSTAT[14] & 0x3F));( J/ K6 `% s3 s9 m% }( e
GEL_TextOut("Module 15: DSP STATE = %d\n",,,,, (pPSC0_MDSTAT[15] & 0x3F)); / o% w1 c6 e6 ~

2 C0 ]' H6 E  Z- H& uGEL_TextOut("\n");
/ e# i) A. N' f" P, ~GEL_TextOut("---------------------------------------------\n");, }' b6 k6 W5 O! ]
GEL_TextOut("| PSC1 Information |\n");
2 ]- [* B+ L/ yGEL_TextOut("---------------------------------------------\n");
, ?& A% P4 E6 |" }. s4 ^; @GEL_TextOut("\n"); 6 b7 O+ |' V4 W" r8 I
  Y$ z3 W# i  P! K6 Z( z1 Z& M6 k
GEL_TextOut("State Decoder:\n");
* Q: Y. z& Q/ e1 b8 G  ]( qGEL_TextOut(" 0 = SwRstDisable (reset asserted, clock off)\n");% s4 Z1 l# o# q3 q* S# e5 X' V% V7 ]
GEL_TextOut(" 1 = SyncReset (reset assered, clock on)\n");& v& w+ s6 \1 C7 h9 z2 e
GEL_TextOut(" 2 = Disable (reset de-asserted, clock off)\n");
( P' k  t+ d7 pGEL_TextOut(" 3 = Enable (reset de-asserted, clock on)\n");/ X3 H$ `4 A, N9 ?3 q
GEL_TextOut(">3 = Transition in progress\n");
3 L0 N% V/ o) R; L' DGEL_TextOut("\n"); ( I1 b+ G6 {' L" p* j5 O

0 ~; H& l# Q* i4 c% yif ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only+ F6 S% `" N# Y' w5 R
{
( }5 D( v, i" G5 j3 EGEL_TextOut("Module 0: EDMA3CC (1) STATE = %d\n",,,,, (pPSC1_MDSTAT[0] & 0x3F));* F# r% {- M$ u% c+ S
}
% q: ]- Q3 f; x" l; U' R) @
4 I/ s7 V! G) |( U( MGEL_TextOut("Module 1: USB0 (2.0) STATE = %d\n",,,,, (pPSC1_MDSTAT[1] & 0x3F));( \9 W2 d  c  @% R; R; m
GEL_TextOut("Module 2: USB1 (1.1) STATE = %d\n",,,,, (pPSC1_MDSTAT[2] & 0x3F));, P$ [0 q$ [/ L6 w3 s" g
GEL_TextOut("Module 3: GPIO STATE = %d\n",,,,, (pPSC1_MDSTAT[3] & 0x3F));
9 a0 X0 R( Q( k: e1 |0 TGEL_TextOut("Module 4: UHPI STATE = %d\n",,,,, (pPSC1_MDSTAT[4] & 0x3F));
2 a$ F+ c- X) T. R6 k# v( dGEL_TextOut("Module 5: EMAC STATE = %d\n",,,,, (pPSC1_MDSTAT[5] & 0x3F));
! w0 Z* M, ]6 @/ R1 }
6 x" |) X' Z" Lif ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only
' C* h* J: l+ j) a{
! k8 a& F( t: F7 p5 g+ j" v" cGEL_TextOut("Module 6: DDR2 and SCR F3 STATE = %d\n",,,,, (pPSC1_MDSTAT[6] & 0x3F));9 X+ L/ h- S  T# M; A" x- D. Z
}  I4 Y7 o8 g' g2 R3 Y& T# S
else* b' e6 G( B5 j4 C, h
{ // OMAP-L137 and variants0 |7 R$ P6 \, x& I# L8 @  e8 C
GEL_TextOut("Module 6: EMIFB (BR20) STATE = %d\n",,,,, (pPSC1_MDSTAT[6] & 0x3F));
  n% U. F) @! v; ^; C}
GEL_TextOut("Module 7: MCASP0 + FIFO STATE = %d\n",,,,, (pPSC1_MDSTAT[7] & 0x3F));# z! r  A( H7 @

' [- O, E5 S# u( k' d6 g9 O" kif ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only
; C' Q& O6 @- {{
' j1 ^6 r# x4 y+ k8 C, j+ YGEL_TextOut("Module 8: SATA STATE = %d\n",,,,, (pPSC1_MDSTAT[8] & 0x3F));
, v% e/ Q, ^3 K! a" v1 h) t}2 l! @# A& \/ z  B
else. _6 Q7 h( W& f: d* Z9 b
{ // OMAP-L137 and variants
4 x8 e3 Q$ m5 NGEL_TextOut("Module 8: MCASP1 + FIFO STATE = %d\n",,,,, (pPSC1_MDSTAT[8] & 0x3F));
6 H- H2 t) k5 c}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only. a3 l7 d$ q6 x" o" `: @9 s
{ 9 W# N8 t. M5 @+ X+ N+ M% Q
GEL_TextOut("Module 9: VPIF STATE = %d\n",,,,, (pPSC1_MDSTAT[9] & 0x3F));& F' B# [9 s/ g
}
' r) H3 X' a2 m5 E0 Jelse* n$ W! Q7 q) C  g% R8 R7 K
{ // OMAP-L137 and variants  j9 `6 `& o3 ~3 w
GEL_TextOut("Module 9: MCASP2 + FIFO STATE = %d\n",,,,, (pPSC1_MDSTAT[9] & 0x3F));
$ O. g0 C( ^; a7 M6 d+ T}
, a# u+ R0 Z! A1 r
6 x! p0 z0 g; ]6 b/ ]2 E: d# l4 rGEL_TextOut("Module 10: SPI 1 STATE = %d\n",,,,, (pPSC1_MDSTAT[10] & 0x3F));7 Z) {; P2 Y; s3 Q! d
GEL_TextOut("Module 11: I2C 1 STATE = %d\n",,,,, (pPSC1_MDSTAT[11] & 0x3F));
8 X. a) x' c0 ]1 K1 xGEL_TextOut("Module 12: UART 1 STATE = %d\n",,,,, (pPSC1_MDSTAT[12] & 0x3F));; C- j: E, _" D7 Y7 f# T4 I
GEL_TextOut("Module 13: UART 2 STATE = %d\n",,,,, (pPSC1_MDSTAT[13] & 0x3F));
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only
- K5 [& I; |2 m$ l) X{
# _6 X4 ^& J! q) f9 p- GGEL_TextOut("Module 14: MCBSP0 + FIFO STATE = %d\n",,,,, (pPSC1_MDSTAT[14] & 0x3F));
; n" B+ o* z3 k$ t1 g}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only
  v7 i0 A' h* j- S, _' t{
; p/ P% l5 G* e' |0 ^" Z: lGEL_TextOut("Module 15: MCBSP1 + FIFO STATE = %d\n",,,,, (pPSC1_MDSTAT[15] & 0x3F));( g, I" M& |/ M, H0 ^5 b
}
( Y" h4 L+ x. H  ]6 S7 K1 W+ B8 `; G# u2 ~4 p# }4 z
GEL_TextOut("Module 16: LCDC STATE = %d\n",,,,, (pPSC1_MDSTAT[16] & 0x3F));
- D/ h0 U# i$ ~( j+ t4 j) iGEL_TextOut("Module 17: eHRPWM (all) STATE = %d\n",,,,, (pPSC1_MDSTAT[17] & 0x3F));4 |1 C8 u' x7 x! S9 f
! A3 W8 S/ Q5 R( b8 V
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only
3 z$ U" e6 O% p{ 2 U; {) U5 c( U
GEL_TextOut("Module 18: MMC/SD 1 STATE = %d\n",,,,, (pPSC1_MDSTAT[18] & 0x3F));7 l; x, ?  E; T
}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only3 C% ^8 ]# ^9 t" j- r
{ 9 ]& m$ \+ _# j- z2 m: D
GEL_TextOut("Module 19: UPP STATE = %d\n",,,,, (pPSC1_MDSTAT[19] & 0x3F));
; U* v& a) t3 ?/ E) A}
GEL_TextOut("Module 20: eCAP (all) STATE = %d\n",,,,, (pPSC1_MDSTAT[20] & 0x3F));
) x3 M6 M0 q6 D, O' G6 y6 [7 t2 z2 J1 ~7 i8 F* r: U2 {
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only8 e1 A; l3 y5 q( m, z, r
{
& J" f- @8 H9 V8 @$ e3 _6 k2 UGEL_TextOut("Module 21: EDMA3 TC2 STATE = %d\n",,,,, (pPSC1_MDSTAT[21] & 0x3F));
& s+ U' @. y, c9 c$ y( H}
' O: @. p7 B% T: M4 p' u; [else2 Q! R: t3 D0 C0 t; ]
{ // OMAP-L137 and variants# m# \; H+ d+ C6 o  y
GEL_TextOut("Module 21: eQEP 0/1 STATE = %d\n",,,,, (pPSC1_MDSTAT[21] & 0x3F));
9 F0 e4 g' s5 Q" H}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only
0 b4 \5 z- a) D! U6 b{ . C$ n" K6 r5 y) c# P- d
GEL_TextOut("Module 24: SCR-F0 Br-F0 STATE = %d\n",,,,, (pPSC1_MDSTAT[24] & 0x3F));
' K- q* R% B/ J3 P' o. q5 L4 o}
0 M3 [6 q$ p0 }6 @else& a  o; {1 J  U9 i
{ // OMAP-L137 and variants6 ~0 k9 \& D" Q. o7 Q+ v" E
GEL_TextOut("Module 24: SCR8 (Br15) STATE = %d\n",,,,, (pPSC1_MDSTAT[24] & 0x3F));
$ D, Q, C! k5 U& T}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only) ]  k, V$ T9 `0 |
{
. O2 @7 r. b  E" d( n- v2 FGEL_TextOut("Module 25: SCR-F1 Br-F1 STATE = %d\n",,,,, (pPSC1_MDSTAT[25] & 0x3F));+ A$ c+ Q& V' b9 I
}
9 x9 L6 D- i) l- j0 R% L% felse) r( J& L8 v5 H+ `$ K* M. Y6 s
{ // OMAP-L137 and variants
# A5 @+ n3 I+ {+ }0 g  g! W6 P5 AGEL_TextOut("Module 25: SCR7 (Br12) STATE = %d\n",,,,, (pPSC1_MDSTAT[25] & 0x3F));
; Z& N2 a' u: \. z. `/ s}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only0 D4 r( _0 {1 [: W7 H
{
' p1 O3 K! e- ]4 L- p" A8 i' AGEL_TextOut("Module 26: SCR-F2 Br-F2 STATE = %d\n",,,,, (pPSC1_MDSTAT[26] & 0x3F));
# q7 [+ D5 a. y  N9 N% F% `7 u}
/ j  V6 |3 _; y7 s7 telse4 r1 w  C) v# \$ _/ X1 G6 h
{ // OMAP-L137 and variants
+ Z/ s3 q$ x# n& aGEL_TextOut("Module 26: SCR12 (Br18) STATE = %d\n",,,,, (pPSC1_MDSTAT[26] & 0x3F));+ g: e* V% b4 B; a; Y
}4 o  f) {( [9 Q& l$ F
8 ]: }0 w3 Z* b4 K! T
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only) L  F& Q3 |2 z. j. d& C" ^5 E
{ # k6 A8 r; y* S# K6 {
GEL_TextOut("Module 27: SCR-F6 Br-F3 STATE = %d\n",,,,, (pPSC1_MDSTAT[27] & 0x3F));& o& l; i" [: A6 |2 i* q" }
GEL_TextOut("Module 28: SCR-F7 Br-F4 STATE = %d\n",,,,, (pPSC1_MDSTAT[28] & 0x3F));
! ]! W3 ]) S0 P* o) O8 u8 p6 dGEL_TextOut("Module 29: SCR-F8 Br-F5 STATE = %d\n",,,,, (pPSC1_MDSTAT[29] & 0x3F));7 c: L1 i3 S( J" X$ x% F  [$ `% f
GEL_TextOut("Module 30: Br-F7 (DDR Contr) STATE = %d\n",,,,, (pPSC1_MDSTAT[30] & 0x3F));
9 D% K3 e5 l! e- p- }6 }}
if ((revision0 % 2) == 0) // OMAP-L138 and pin-for-pin variants only% a; O9 \; l& ?! A
{   T/ j9 f1 w5 X
GEL_TextOut("Module 31: L3 RAM, SCR-F4, Br-F6 STATE = %d\n",,,,, (pPSC1_MDSTAT[31] & 0x3F));
& [2 L1 F4 C7 e  y0 r}
8 m3 B6 A4 q/ C5 f% Z/ q' Kelse
- l; ^, h% H! d{ // OMAP-L137 and variants
: _5 V. \2 T- Y% L( x! zGEL_TextOut("Module 31: L3 RAM (Br13) STATE = %d\n",,,,, (pPSC1_MDSTAT[31] & 0x3F));0 R0 W9 V" W- C$ t" A6 E
}" u+ ~4 \8 w! Z

0 Y& |2 w1 O4 N+ `}
其中回调函数可以在CCS help文档中找到
比如GEL_MapOff()
这个函数描述是这样的
This function disables memory mapping. Note that disabling memory mapping can cause bus fault problems in the target because the Code Composer Studio debugger may attempt to access nonexistent memory. On power up, the memory map is turned off by default.
该函数会禁止内存映射,注意禁止的内存映射可能会引起目标的总线故障 ,因为CCS 调试器会尝试访问不存在的内存。在上电后,内存映射默认关闭。
参考创龙TL138_1808_6748-EVM 参考资料
多多指教

4 A: x9 K# I/ A$ ~% B1 R
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友 微信微信
收藏收藏 支持!支持! 反对!反对!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

巢课

技术风云榜

关于我们|手机版|EDA365 ( 粤ICP备18020198号 )

GMT+8, 2024-10-18 19:28 , Processed in 0.080293 second(s), 33 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表