EDA365电子工程师网

标题: 使用DxDesigner新建文件时弹出的两个提示的解决办法 [打印本页]

作者: wolai210    时间: 2009-7-9 15:37
标题: 使用DxDesigner新建文件时弹出的两个提示的解决办法
进入DxDesigner时,新建会弹出两个错误框,主要是由于以下2个文件中的代码引号不全所致,请参照修改$ Z+ W% u4 L1 i- T- p

3 k" _; d* ]1 f8 s6 Q, ^1、在安装目录下找到symbol.vbs文件,用文本编辑工具打开,参照下面已修改好的代码进行修改:
, S# a! {" D) p: Q- ?4 E6 k3 x  z/ i
( `3 \+ E! V/ WSub Template_OnGetInfo( t )
+ T7 O. e$ V* ?. k$ r    t.Name = "Symbol"
; G( b; A0 l* j. k$ ~* E$ [! D4 _  t.LName "jpn", "驾无?"
. @- ^* m8 t7 l+ l) K4 ^/ a    t.ImageFile = "./symbol.ico"
' Z& g$ E* j9 o3 }" S" c    t.Description = "Creates a new blank symbol"
) Z5 {$ Z/ Y# j    t.LDescription "jpn", "怴婯偵嬻偺驾无賯饙鞇?"' a" W  n# L$ I0 m* @
    t.DefaultFileName = "NewSymbol.1"8 H( R9 H( d, d
t.Type = "symbol"2 E+ Z* m; z# ?
t.DefaultPath = "%CURRENT_PROJECT_PATH%sym"+ v: x. _# U7 I8 m# Z! g. v* @
t.LockDefaultPath = TRUE7 d5 O, @+ h' O8 Y0 w* K
t.SortOrder = 2
% @  T( `8 n% `# ?end Sub& V/ i6 W; L+ X# ]: C* l

- X1 g. m6 @0 a' Y$ o+ q% T3 L8 KFunction Template_OnOpen(t)
/ n) U; K- T% O    Template_OnOpen= TRUE; T" H4 _/ _& M, U
end Function8 D+ p+ L! x3 U; x  t! G
( K# V3 L/ u# P+ V1 z+ B
. @- A5 t9 h" ~1 h' l# G3 v* L
+ G3 m' u/ W( r9 |. b2 h
2、在安装目录下找到symbolwizard.vbs文件,用文本编辑工具打开,参照下面已修改好的代码进行修改:
0 N# U; Q7 a! v% w  w( B# t1 y
/ C% w4 t# V; e# pSub Template_OnGetInfo( t )! ^3 I) y; I5 ~6 `3 l* @
    t.Name = "Symbol Wizard"
- V7 J8 q) S! \9 L  t.LName "jpn", "驾无?敞晦澳?": G5 [2 O4 L% `, H9 d* m0 k
    t.ImageFile = "./symbol.ico"4 ~; e/ y! l5 V. o  O: V' G
    t.Description = "Uses the symbol wizard to create a symbol"( x& D8 B& q  n1 o6 r; h
    t.LDescription "jpn", "驾无?敞晦澳迋饚g偭偰驾无賯饙鞇?"( C& w" p; U" [4 l3 v" t
    t.DefaultFileName = "NewSymbol.1"( ~- ]1 M' d3 P
t.Type = "symbolwizard"/ ^8 @( _3 `) ]! r: I" P5 C0 a
t.DefaultPath = "%CURRENT_PROJECT_PATH%sym"
% z# {' X+ B& r* x/ l% rt.LockDefaultPath = TRUE1 t8 z/ D5 g% b1 u7 e
t.SortOrder = 3& H. G# K8 j5 I: d4 n
end Sub
6 {( d+ A+ o) W9 {' Y' i9 h5 [3 A5 Y9 _6 V' F
Function Template_OnOpen(t)
% [% ]5 {  _6 S( j6 S    Template_OnOpen = TRUE; }# j1 Y! W* h0 H, P: P/ n
end Function) L& H- `9 B( c+ `
- I5 b" `' Y) D9 a* e9 P1 g
声明:1) 并非本人原创!!!0 M1 \; I& G; R
        2)就是第三行跟第六行尾,各差一个下引号!
作者: happlylife    时间: 2009-12-11 09:06
我昨天已经解决,没有看任何资料,完全自己解决的....哈哈哈哈
作者: amwtghwxf    时间: 2010-1-20 15:32
真是高手啊。太谢谢了。
作者: braveboys    时间: 2010-1-20 23:19
呵呵,2005吧,就这样
. R/ e. S3 W) |$ ?( R1 d网上流传的那个mentorfix也可以解决




欢迎光临 EDA365电子工程师网 (http://bbs.elecnest.cn/) Powered by Discuz! X3.2